Effect of Low-Frequency Power on Etching Characteristics of 6H-SiC in C 4 F 8 /Ar Dual-Frequency Capacitively Coupled Plasma

Size: px
Start display at page:

Download "Effect of Low-Frequency Power on Etching Characteristics of 6H-SiC in C 4 F 8 /Ar Dual-Frequency Capacitively Coupled Plasma"

Transcription

1 Plasma Science and Technology, Vol.15, No.10, Oct Effect of Low-Frequency Power on Etching Characteristics of 6H-SiC in C 4 F 8 /Ar Dual-Frequency Capacitively Coupled Plasma XU Yijun ( ) 1,2, WU Xuemei ( ) 1,2,3, YE Chao ( ) 1,2 1 Department of Physics, Soochow University, Suzhou , China 2 The Key Laboratory of Thin Films of Jiangsu, Soochow University, Suzhou , China 3 State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai , China Abstract Dry etching of 6H silicon carbide (6H-SiC) wafers in a C 4F 8/Ar dual-frequency capacitively coupled plasma (DF-CCP) was investigated. Atomic force microscopy (AFM) and X-ray photoelectron spectroscopy (XPS) were used to measure the SiC surface structure and compositions, respectively. Optical emission spectroscopy (OES) was used to measure the relative concentration of F radicals in the plasma. It was found that the roughness of the etched SiC surface and the etching rate are directly related to the power of low-frequency (LF) source. At lower LF power, a smaller surface roughness and a lower etching rate are obtained due to weak bombardment of low energy ions on the SiC wafers. At higher LF power the etching rate can be efficiently increased, but the surface roughness increases too. Compared with other plasma dry etching methods, the DF-CCP can effectively inhibit C xf y films deposition, and reduce surface residues. Keywords: SiC, plasma etching, dual-frequency capacitively coupled plasma, X-ray photoelectron spectroscopy, optical emission spectroscopy PACS: Pi, Ds, Kz DOI: / /15/10/19 1 Introduction Because of its unique properties, such as large band gap, large breakdown field, excellent thermal conductivity, great hardness, and resistance to chemical attack and radiation, silicon carbide (SiC) is considered to be an excellent candidate for high-temperature, high-power and high-frequency electronic devices [1], micro-sensor and micro-actuator applications in microelectromechanical systems (MEMS) [2], and applications in harsh environments [3]. The application of SiC to nano-devices requires precise etching of SiC and little surface residue after etching [4 6]. Unfortunately, the large Si-C bond energy makes SiC etching very difficult, therefore the powerful plasma-based dry etching becomes the main practical way to etch SiC in the fabrication of MEMS [7]. However, the surface residues resulting from dry etching can significantly affect the properties of materials, subsequent processing and device performance [8,9]. Therefore, it is very important to develop a new method to etch SiC with high etching rate, small surface roughness and little surface residue [7]. Dual-frequency capacitively coupled plasma (DF- CCP) is an important plasma etching tool which can be used for manufacturing ultra-large scale integrated (ULSI) circuits and other nano-materials. To achieve separate control of plasma density and energy of ions onto the wafer, two power sources with large discrepancy in frequency are usually used in the dual-frequency CCP reactor. The high-frequency (HF) source from MHz to 500 MHz is used to control the plasma density, while the low-frequency (LF) source from 800 khz to 2 MHz is used to adjust the energy of ions onto the wafer [10 14]. In our previous works on etching of SiCOH low-k films in CHF 3 DF-CCP, we have found that increasing the low-frequency power can effectively suppress the surface C x F y residues [15,16]. However, the etching of SiC by DF-CCP is seldom reported, and the effect of low-frequency power on SiC etching is still unclear. Therefore, this work investigated the effect of power of low-frequency source on etching behavior of SiC in 60 MHz/2 MHz C 4 F 8 DF-CCP. 2 Experimental setup The n-type 6H-SiC wafers with the size of 5 mm 5 mm (from Tankblue Co. Ltd) were used as wafers. All the wafers were ultrasonically cleaned in HCl and NH 3 before being introduced into the vacuum chamber. After cleaning, wafers were immediately sent into the vacuum chamber. The etching of 6H-SiC wafers was carried out in a DF-CCP system. The plasma was produced between two symmetrical supported by National Natural Science Foundation of China (Nos , , , , and ), the National Magnetic Confinement Fusion Science Program of China (Nos. 2010GB106000, 2010GB106009), the Open Project of State Key Laboratory of Functional Materials for Information and Qing Lan Project, a Project Funded by the Priority Academic Program Development of Jiangsu Higher Education Institutions and the Program for graduates Research & Innovation in University of Jiangsu Province, China (No. CX10B-031Z)

2 XU Yijun et al.: Effect of Low-Frequency Power on Etching Characteristics of 6H-SiC in C 4 F 8 /Ar DF-CCP parallel-plate stainless steel electrodes. The Comdel CV500 RF generator (60 MHz, 300 W) was used as the HF source and applied to the top electrode. The HF power was obtained by subtracting the reflected power from the incident power. The 6H-SiC wafers were placed at the bottom electrode which was driven by Comdel CX600 generator (2 MHz) in the power range of 150 W to 300 W. The diameter of the two electrodes was 200 mm and the gap between them was 50 mm. The base pressure of the chamber was approximately 10 3 Pa. It is well known that F atom can react with Si atom to produce a volatile species [17], therefore, C 4 F 8 /Ar 2 mixed gas was chosen as the etching gas. The flow rates of C 4 F 8 and Ar 2 were chosen as 10 sccm and 0.5 sccm, respectively. The 0.5 sccm Ar 2 was used as the actinometry for the measurement of relative concentration of F radicals. The working pressure was kept at 40 Pa, and the etching time was 5 min. The surface microstructure of etched 6H-SiC wafers was analyzed by atomic force microscopy (AFM) (Solver Pro SPM) with semi-contact operating mode. All the measurements were performed on an area of nm 2. Chemical states of the pristine and etched 6H-SiC wafers were identified by a Karotos XSAN-800 X-ray photoelectron spectroscopy (XPS) with an Al Kα irradiation ( ev) powered at 168 W with a resolution of 0.9 ev. The pressure in the ion-pumped analysis chamber was Pa during data acquisition. The instrument was calibrated by Au 4f 7/2 binding energy at 84.0 ev. Surface cleaning was executed on the etched 6H-SiC in the XPS chamber with 3 kev Ar + ions (10 µa/cm 2, 5 min). In order to analyze the effect of plasma on etching behavior, relative concentration of F radicals was measured using optical emission spectroscopy (OES), which was widely used in low pressure plasmas [18 21]. In our experiment the optical emission was measured in the wavelength range of nm with a resolution of 0.05 nm to 0.13 nm using AvaSpec-2048 fiber optical spectrometer equipped with a CCD detector. The optical emission signal was collected via a fiber located at approximately 2 mm above the surface of 6H-SiC wafer. Fig. 1 shows the optical emission spectrum in the wavelength range of nm. A very sharp and no Doppler broadening fluorine (F) line (703.8 nm) can be observed. Because the Doppler broadening originates from dissociative excitation, the result means that the F line originates from electron impact excitation rather than dissociative excitation [22]. Let n F be the concentration of the free radical F and let I F be the optical emission intensity, the excitation emission from the ground state F can be written as [23] where α F = k D (λ) 0 I F = α F n F, (1) 4πν 2 dνq F σ F (ν)νf e (ν). (2) Fig.1 Optical emission spectrum of C 4F 8 mixed with 5% Ar 2 in the wavelength range of nm Here f e is the electron distribution function, σ F is the cross section for emission of a photon of wavelength λ due to the electron impact excitation of F, Q F is the quantum yield for photon emission from the excited state (0 Q F 1), and k D is the detector response constant. Due to a small range of overlap of f e with σ, the cross sections with values near the threshold can be replaced by σ F C F (ν ν thr ), where the C F is proportionality constant. Normalizing the F line (703.8 nm) intensity by the Ar line (750.4 nm) intensity, the concentration n F of the free radical F is where n F = C F Ar n Ar I F I Ar, (3) C F Ar = k D(λ F )Q F C F k D (λ Ar )Q Ar C Ar. (4) For the F (703.8 nm) and Ar (750.4 nm) emissions, there are λ F λ Ar, k D (λ F ) k D (λ Ar ) and Q F Q Ar. Thus, Eq. (4) can be written as C F Ar C F /C Ar, which is related to the threshold behavior of two cross sections. Because the excitation threshold energy for F and Ar is nearly the same, ev and ev, respectively, a good estimation of relative concentration of F radicals n F can be directly obtained by emissions intensity ratio of I F /I Ar. 3 Results and discussions The variation of etching rate with the increase of LF power is shown in Fig. 2. It can be seen that the etching rate increases with the increase of LF power, and reaches the maximum 152 nm/min at the LF power of 300 W. Fig. 3 shows the 3D AFM images of SiC wafers before and after etching. The pristine SiC wafer has a very smooth surface with the root mean square (RMS) roughness of 0.33 nm, as shown in Fig. 3(a). After etching at the LF power of 150 W, the surface of the etched SiC wafer becomes slightly rougher and the RMS roughness increases to 0.4 nm. This result indicates that the plasma etching at the LF power of 150 W causes little roughness to the wafer. If the LF power is further increased, the surfaces of etched SiC wafers become rougher and rougher. Fig. 4 shows the change of surface 1067

3 Plasma Science and Technology, Vol.15, No.10, Oct RMS roughness of etched SiC wafers with the increase of LF power. It can be seen that the RMS roughness increases with the increase of LF power. When the LF power increases to 300 W, the surface RMS roughness of the etched SiC wafer reaches 0.67 nm, which is twice that of the pristine wafer. Therefore, plasma etching at higher LF power can cause serious roughness to SiC wafers. Fig.4 Dependence of RMS roughness on low-frequency power Fig.2 Dependence of etching rate on low-frequency power The dominant mechanisms related to etching behavior of 6H-SiC include physical and chemical mechanisms [17]. The physical mechanism is energetic ion bombardment. In the DF-CCP, the increase of LF power can lead to the increase of ion energy. The ion bombardment with high energy can cause more damage to SiC and result in a rougher surface. The chemical mechanism is the reaction between fluorine and silicon atoms to form volatile species [17]. In order to analyze chemical compositions of etched SiC, XPS measurements were carried out. Fig. 5 shows the C 1s corelevel spectra and their Gaussian fitting peaks of (a) the pristine SiC wafer, and SiC wafers etched at the LF power of (b) 150 W to (e) 300 W, respectively. For the pristine SiC wafer, the C 1s core-level spectrum can be deconvoluted into two components. The peaks located at the binding energies of ev and ev are due to Si-C and C-C bonds, respectively [24]. For the SiC wafers etched at the LF power of 150 W to 300 W, C 1s core-level spectra can be fitted into three components. The peaks located at the binding energies of ev, ev and ev correspond to Si-C, C-C and C-F bonds, respectively. However, compared with the C-C peak, the Si-C and C-F peaks are very small. For wafers (b) to (e), ratios of C-C to Si-C peak area are 7.2, 4.2, 5.6 and 6.6, respectively, while the ratios of C-C to C-F peak area for wafers (b) to (e) are 3.3, 2, 2.5 and 2.4, respectively. The small Si-C peak is due to the removal of Si atoms, while the weak C-F peak indicates small Cx Fy residues on the surface of etched SiC wafers. In the C 1s core-level spectra, no C-F2 or C-F3 related bonds, which are located respectively at the binding energies of 289 ev [25] and 293 ev [26], are found. These results indicate that only very small Cx Fy residues were left at the SiC surface after etching. The etching characteristics of SiC wafers are mainly controlled by the fluorocarbon plasma chemistry. For the C4 F8 gas, the dissociations by electron-neutral collisions are shown as follows [21,27]. C4 F8 + e F + C4 F7, H = 3.00 ev, Fig.3 AFM micrographs of SiC wafers before and after etching. (a) Before etching, (b) to (e) Etching at lowfrequency power of 150 W, 200 W, 250 W and 300 W, respectively (color online) 1068 C4 F7 + e C2 F4 + C2 F3 + e, H = 2.42 ev, (5) (6) C4 F8 + e 2C2 F4 + e, H = 2.42 ev, (7) C2 F4 + e 2CF2 + e, H = 3.06 ev, (8)

4 XU Yijun et al.: Effect of Low-Frequency Power on Etching Characteristics of 6H-SiC in C4 F8 /Ar DF-CCP Cx Fy films deposition on the etched materials can usually be observed in the fluorocarbon plasma etching, which can influence the etching characteristics [16]. But for SiC wafers etched by the DF-CCP, the XPS results show that very small Cx Fy films deposit on the surface of SiC wafers. Therefore, the SiC etching with small Cx Fy residues can be obtained by C4 F8 DF-CCP. Fig.6 Dependence of relative concentration of F radicals on LF power 4 Fig.5 C 1s XPS spectra and their Gaussian fitting peaks of (a) original SiC, and the SiC etched at LF power of (b) 150 W, (c) 200 W, (d) 250 W and (e) 300 W, respectively (color online) The weak Cx Fy residues on the surface of the SiC wafer may depend on the Cx Fy radials in the plasma and the energy of ions onto the SiC wafer. By OES measurement, the relative concentration of F radicals is found to increase with the increase of LF power, as shown in Fig. 6. Because the F radicals are produced mainly by the dissociations of C4 F8 gas, the increase of relative concentration of F radicals means the increase of C4 F8 dissociation. As a result, more Cx Fy radials can be produced in the plasma and deposit on the SiC wafers. However, the ion energy also depends on the LF power. LEE and HUANG s results show that the increase of LF power can lead to the increase of ions energy and the broadening of ion energy distribution function (IEDF) to the high energy region [28,29]. Therefore, the ions bombardment onto the SiC surface can increase with the increase of LF power. As a result, the more the Cx Fy radicals sputtered, the less the Cx Fy films left on the etched surface. Therefore, the SiC etching involves the Cx Fy films deposition, the ions energy and the relative concentration of F radicals. With the increase of LF power, both the ions energy and relative concentration of F radicals increase. The increase of ions energy can lead to more sputtering of Cx Fy films, and the increase of F radicals leads to more removal of Si atoms in SiC by reacting with F radicals to form volatile products. Thus the etching rate increases with the increase of LF power. Conclusions In this work, the etching behavior of SiC wafers in the C4 F8 /Ar 60 MHz/2 MHz dual-frequency CCP was investigated. It is found that the etching rate and the surface roughness of etched SiC wafers are directly related with the low-frequency power. At lower LF power, the etched SiC surface is almost as smooth as the original one, and the etching rate is low. With the increase of LF power, the etching rate increases but the etched surface becomes rougher. It is due to the increase of energy of ions onto the etched SiC wafers. XPS and OES measurement results prove that the SiC etching depends on the Cx Fy films deposition, the ions energy and the relative concentration of F radicals. With the increase of LF power, both ions energy and relative concentration of F radicals increase. The increase of ions energy can lead to more sputtering of Cx Fy films, and the increase of F radicals results in more removal of Si atoms in SiC by reacting with F radicals to form volatile products, thus the etching rate increases with the increase of LF power. Compared with other plasma dry etching methods, DF-CCP can effectively inhibit Cx Fy films deposition. Therefore, the DF-CCP technique can be adopted as a useful tool for SiC etching with small surface residues. References Choyke W J, Matsunami H, Pensl G. 1997, In Silicon Carbide, A Review of Fundamental Questions and Applications to Current Device Technology. Academic, New York Nabki F, Dusatko T A, Vengallatore S, et al. 2011, Journal of Microelectromechanical Systems, 20: 720 Hitoshi H, Yusuke K, Yutaka M, et al. 2009, Mater. Sci. Forum., 603: 655 Kim D W, Lee H Y, Kyoung S J, et al. 2004, IEEE Trans. Plas. Sci., 32: 1362 Khan F A, Foor B, Zhou L. 2001, J. Elctron Mater., 30: 212 Kim B, Lee BT. 2004, J. Electronic Mat., 33:

5 Plasma Science and Technology, Vol.15, No.10, Oct So M S, Lim S G, Jackson T N. 1999, J. Vac. Sci. Technol. B, 17: Pang S W, Shul R J, Pearton S J. 2000, Chapter 8, Surface Damage Induced by Dry Etching. Handbook of Advanced Plasma Processing Technologies, Springer- Verlag, Berlin 9 Van der Drift E, Cheung R, Zijlstra T. 1996, Microelectron. Eng., 32: Miyauchi M, Miyoshi Y. 2007, Solid-State Electronics, 51: Ishihara K, Shimada T, Yagisawa T. 2006, Plasma Phys. Control. Fusion B, 48: Denda T, Miyoshi Y, Komukai Y, et al. 2004, J. Appl. Phys., 95: Uchida S, Takashima S, Hori M, et al. 2008, J. Appl. Phys., 103: Kinoshita K, Noda S, Morishita S, et al. 1999, J. Vac. Sci. Technol. A, 17: Ye C, Xu Y J, Huang X J, et al. 2009, Microelectron. Eng., 86: Shi G F, Ye C, Xu Y J, et al. 2010, Plasma Sci. Technol., 12: Yih P H, Saxena V, Steckl A J. 1997, Phys. Status. Solidi. B, 202: Coburn J W, Chen M. 1980, J. Appl. Phys., 51: Cruden B A, Gleason K K, Sawin H H. 2002, J. Appl. Phys., 91: Gaboriau F, Cartry G, Peignon M C, et al. 2006, J. Phys. D: Appl. Phys., 39: Kokkoris G, Goodyear A, Cooke M, et al. 2008, J. Phys. D: Appl. Phys., 41: Chen F F, Chang J P. 2002, Lecture notes on principles of plasma processing Plenum/Kluwer Publishers 23 Lieberman M A, Lichtenberg A J. 2005, Principles of Plasma Discharges and Materials Processing. John Wiley & Sons, Inc., Hoboken, New Jersey 24 Socha R P, Vaeyrynen J. 2003, Applied Surface Science, 212: Yun S M, Chang H Y, Kang M S, et al. 1999, Thin Solid Films, 341: Durrant S F, Rangel E C, Cruz N C, et al. 1996, Surf. Coat. Technol., 86: Font G I, Morgan W L, Mennenga G. 2002, J. Appl. Phys., 91: Lee J K, Manuilenko O V, Babaeva N Y, et al. 2005, Plasma Sources Sci. Technol., 14: Huang X J, Xin Y, Yuan Q H, et al. 2008, Phys. Plasmas, 15: (Manuscript received 30 November 2011) (Manuscript accepted 29 March 2013) address of corresponding author WU Xuemei: xmwu@suda.edu.cn 1070

Structural evolution of silicone oil liquid exposed to Ar plasma

Structural evolution of silicone oil liquid exposed to Ar plasma Structural evolution of silicone oil liquid exposed to Ar plasma Yuan Yuan( 袁圆 ), Ye Chao( 叶超 ), Huang Hong-Wei( 黄宏伟 ), Shi Guo-Feng( 施国峰 ), and Ning Zhao-Yuan( 宁兆元 ) School of Physics Science and Technology,

More information

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide ARTICLE IN PRESS Journal of Physics and Chemistry of Solids 69 (2008) 555 560 www.elsevier.com/locate/jpcs Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide Jun Wu a,, Ying-Lang

More information

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Abstract UV radiation during plasma processing affects the surface of materials. Nevertheless, the interaction of UV photons with surface

More information

DOE WEB SEMINAR,

DOE WEB SEMINAR, DOE WEB SEMINAR, 2013.03.29 Electron energy distribution function of the plasma in the presence of both capacitive field and inductive field : from electron heating to plasma processing control 1 mm PR

More information

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma THE HARRIS SCIENCE REVIEW OF DOSHISHA UNIVERSITY, VOL. 56, No. 1 April 2015 Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

More information

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma Running title: The effect of the chamber wall on FC assisted atomic layer etching of

More information

Plasma Diagnosis for Microwave ECR Plasma Enhanced Sputtering Deposition of DLC Films

Plasma Diagnosis for Microwave ECR Plasma Enhanced Sputtering Deposition of DLC Films Plasma Science and Technology, Vol.14, No.2, Feb. 2012 Plasma Diagnosis for Microwave ECR Plasma Enhanced Sputtering Deposition of DLC Films PANG Jianhua ( ) 1, LU Wenqi ( ) 1, XIN Yu ( ) 2, WANG Hanghang

More information

Energy fluxes in plasmas for fabrication of nanostructured materials

Energy fluxes in plasmas for fabrication of nanostructured materials Energy fluxes in plasmas for fabrication of nanostructured materials IEAP, Universität Kiel 2nd Graduate Summer Institute "Complex Plasmas" August 5-13, 2010 in Greifswald (Germany) AG 1 Outline Motivation

More information

SURFACE PROCESSING WITH HIGH-ENERGY GAS CLUSTER ION BEAMS

SURFACE PROCESSING WITH HIGH-ENERGY GAS CLUSTER ION BEAMS SURFACE PROCESSING WITH HIGH-ENERGY GAS CLUSTER ION BEAMS Toshio Seki and Jiro Matsuo, Quantum Science and Engineering Center, Kyoto University, Gokasyo, Uji, Kyoto 611-0011, Japan Abstract Gas cluster

More information

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas Integrated Ferroelectrics, 90: 95 106, 2007 Copyright Taylor & Francis Group, LLC ISSN 1058-4587 print / 1607-8489 online DOI: 10.1080/10584580701249371 Inductively Coupled Plasma Reactive Ion Etching

More information

The near-infrared spectra and distribution of excited states of electrodeless discharge rubidium vapour lamps

The near-infrared spectra and distribution of excited states of electrodeless discharge rubidium vapour lamps The near-infrared spectra and distribution of excited states of electrodeless discharge rubidium vapour lamps Sun Qin-Qing( ) a)b), Miao Xin-Yu( ) a), Sheng Rong-Wu( ) c), and Chen Jing-Biao( ) a)b) a)

More information

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Chunshi Cui, John Trow, Ken Collins, Betty Tang, Luke Zhang, Steve Shannon, and Yan Ye Applied Materials, Inc. October 26, 2000 10/28/2008

More information

Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline

Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline Supplementary Information Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline Tapan Barman, Amreen A. Hussain, Bikash Sharma, Arup R. Pal* Plasma Nanotech Lab, Physical Sciences Division,

More information

Lee Chen, Merritt Funk, and Radha Sundararajan Tokyo Electron America, Austin, Texas 78741

Lee Chen, Merritt Funk, and Radha Sundararajan Tokyo Electron America, Austin, Texas 78741 Measurement of electron temperatures and electron energy distribution functions in dual frequency capacitively coupled CF 4 /O 2 plasmas using trace rare gases optical emission spectroscopy Zhiying Chen,

More information

CHAPTER 6: Etching. Chapter 6 1

CHAPTER 6: Etching. Chapter 6 1 Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching

More information

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher Appl. Sci. Converg. Technol. 26(6): 189-194 (2017) http://dx.doi.org/10.5757/asct.2017.26.6.189 Research Paper Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in

More information

Influence of RF ICP PECVD process parameters of diamond-like carbon films on DC bias and optical emission spectra

Influence of RF ICP PECVD process parameters of diamond-like carbon films on DC bias and optical emission spectra Optica Applicata, Vol. XLIII, No. 1, 213 DOI: 1.5277/oa13114 Influence of RF ICP PECVD process parameters of diamond-like carbon films on DC bias and optical emission spectra WALDEMAR OLESZKIEWICZ 1*,

More information

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC Xuefeng Hua, a) Department of Physics, University of Maryland, College Park, Maryland

More information

1. INTRODUCTION 2. EXPERIMENTAL SET-UP CHARACTERIZATION OF A TUBULAR PLASMA REACTOR WITH EXTERNAL ANNULAR ELECTRODES

1. INTRODUCTION 2. EXPERIMENTAL SET-UP CHARACTERIZATION OF A TUBULAR PLASMA REACTOR WITH EXTERNAL ANNULAR ELECTRODES Romanian Reports in Physics, Vol. 57, No. 3, P. 390-395, 2005 CHARACTERIZATION OF A TUBULAR PLASMA REACTOR WITH EXTERNAL ANNULAR ELECTRODES C. PETCU, B. MITU, G. DINESCU National Institute for Lasers,

More information

PIC/MCC Simulation of Radio Frequency Hollow Cathode Discharge in Nitrogen

PIC/MCC Simulation of Radio Frequency Hollow Cathode Discharge in Nitrogen PIC/MCC Simulation of Radio Frequency Hollow Cathode Discharge in Nitrogen HAN Qing ( ), WANG Jing ( ), ZHANG Lianzhu ( ) College of Physics Science and Information Engineering, Hebei Normal University,

More information

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma Kallol Bera a, Shahid Rauf a and Ken Collins a a Applied Materials, Inc. 974 E. Arques Ave., M/S 81517, Sunnyvale, CA 9485, USA

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma Kallol Bera a, Shahid Rauf a and Ken Collins a a Applied Materials, Inc. 974 E. Arques Ave., M/S 81517, Sunnyvale, CA 9485, USA

More information

Electronic Supplementary Information. Molecular Antenna Tailored Organic Thin-film Transistor for. Sensing Application

Electronic Supplementary Information. Molecular Antenna Tailored Organic Thin-film Transistor for. Sensing Application Electronic Supplementary Material (ESI) for Materials Horizons. This journal is The Royal Society of Chemistry 2017 Electronic Supplementary Information Molecular Antenna Tailored Organic Thin-film Transistor

More information

EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS *

EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS * EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS * Yang Yang a) and Mark J. Kushner b) a) Department of Electrical and Computer Engineering

More information

Surface Characteristics of a Polyimide Film Treated with a Dielectric Barrier Discharge Plasma

Surface Characteristics of a Polyimide Film Treated with a Dielectric Barrier Discharge Plasma Journal of the Korean Physical Society, Vol. 54, No. 3, March 2009, pp. 11561160 Surface Characteristics of a Polyimide Film Treated with a Dielectric Barrier Discharge Plasma S. M. Kang, W. J. Park and

More information

ARGON RF PLASMA TREATMENT OF PET FILMS FOR SILICON FILMS ADHESION IMPROVEMENT

ARGON RF PLASMA TREATMENT OF PET FILMS FOR SILICON FILMS ADHESION IMPROVEMENT Journal of Optoelectronics and Advanced Materials Vol. 7, No. 5, October 2005, p. 2529-2534 ARGON RF PLASMA TREATMENT OF FILMS FOR SILICON FILMS ADHESION IMPROVEMENT I. A. Rusu *, G. Popa, S. O. Saied

More information

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF)

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Journal of the Korean Physical Society, Vol. 33, No. 5, November 1998, pp. 579 583 Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Baikil Choi and Hyeongtag Jeon School

More information

PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS

PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS O. Goossens, D. Vangeneugden, S. Paulussen and E. Dekempeneer VITO Flemish Institute for Technological Research, Boeretang

More information

Investigation of H 2 :CH 4 Plasma Composition by Means of Spatially Resolved Optical Spectroscopy

Investigation of H 2 :CH 4 Plasma Composition by Means of Spatially Resolved Optical Spectroscopy Vol. 114 (2008) ACTA PHYSICA POLONICA A No. 6 A Optical and Acoustical Methods in Science and Technology Investigation of H 2 :CH 4 Plasma Composition by Means of Spatially Resolved Optical Spectroscopy

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas COMSOL CONFERENCE BOSTON 2011 Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas Keisoku Engineering System Co., Ltd., JAPAN Dr. Lizhu Tong October 14, 2011 1 Contents 1.

More information

Plasma Technology September 15, 2005 A UC Discovery Project

Plasma Technology September 15, 2005 A UC Discovery Project 1 Feature-level Compensation & Control Plasma Technology September 15, 2005 A UC Discovery Project 9/15/05 - Plasma Technology 2 Plasma Technology Professors Jane P. Chang (UCLA), Michael A. Lieberman,

More information

FINE PATTERN ETCHING OF SILICON USING SR-ASSISTED IONIZATION OF CF4 GAS

FINE PATTERN ETCHING OF SILICON USING SR-ASSISTED IONIZATION OF CF4 GAS Technical Paper Journal of Photopolymer Science and Technology Volume 6, Number 4(1993) 617-624 1993TAPJ FINE PATTERN ETCHING OF SILICON USING SR-ASSISTED IONIZATION OF CF4 GAS YASUO NARA, YosHIHto SUGITA,

More information

In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation

In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation JOURNAL OF APPLIED PHYSICS VOLUME 88, NUMBER 4 15 AUGUST 2000 In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation C. Cismaru a) and J. L.

More information

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea Index 1. Introduction 2. Some plasma sources 3. Related issues 4. Summary -2 Why is

More information

Diagnósticos em Plasmas

Diagnósticos em Plasmas Tecnologia a Plasma para o Processamento de Materiais Diagnósticos em Plasmas Diagnósticos Ópticos João Santos Sousa, nº50901 Semestre Inverno 2004/2005 21 de Janeiro de 2005, 9h-10h, sala F8 Contents

More information

The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas*

The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas* PHYSICS OF PLASMAS VOLUME 6, NUMBER 5 MAY 1999 The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas* M. L. Brake, J. Pender, a) and

More information

A novel sputtering technique: Inductively Coupled Impulse Sputtering (ICIS)

A novel sputtering technique: Inductively Coupled Impulse Sputtering (ICIS) IOP Conference Series: Materials Science and Engineering A novel sputtering technique: Inductively Coupled Impulse Sputtering (ICIS) To cite this article: D A L Loch and A P Ehiasarian 2012 IOP Conf. Ser.:

More information

Improvement of MgO Characteristics Using RF-Plasma Treatment in AC Plasma Display Panel

Improvement of MgO Characteristics Using RF-Plasma Treatment in AC Plasma Display Panel Mol. Cryst. Liq. Cryst., Vol. 531: pp. 73=[373] 81=[381], 2010 Copyright # Taylor & Francis Group, LLC ISSN: 1542-1406 print=1563-5287 online DOI: 10.1080/15421406.2010.499331 Improvement of MgO Characteristics

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu X-ray Photoelectron Spectroscopy Introduction Qualitative analysis Quantitative analysis Charging compensation Small area analysis and XPS imaging

More information

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4 1998 DRY PROCESS SYMPOSIUM VI - 3 Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4 Naoki Mitsugi, Kaori Shima, Masumi Ishizuka and Hirotoshi Nagata New Technology Research Laboratories,

More information

ESH Benign Processes for he Integration of Quantum Dots (QDs)

ESH Benign Processes for he Integration of Quantum Dots (QDs) ESH Benign Processes for he Integration of Quantum Dots (QDs) PIs: Karen K. Gleason, Department of Chemical Engineering, MIT Graduate Students: Chia-Hua Lee: PhD Candidate, Department of Material Science

More information

Modeling of Ion Energy Distribution Using Time-Series Neural Network

Modeling of Ion Energy Distribution Using Time-Series Neural Network 12th SEAS International Conference on SYSTEMS, Heralion, Greece, July 22-24, 2008 Modeling of Ion Energy Distribution Using Time-Series Neural Networ Suyeon Kim, Byungwhan Kim* Department of Electronic

More information

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices 1 UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices Katsuya Watanabe

More information

Copyright 1996, by the author(s). All rights reserved.

Copyright 1996, by the author(s). All rights reserved. Copyright 1996, by the author(s). All rights reserved. Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are

More information

Supporting Information

Supporting Information Copyright WILEY-VCH Verlag GmbH & Co. KGaA, 69469 Weinheim, Germany, 2017. Supporting Information for Adv. Energy Mater., DOI: 10.1002/aenm.201701456 Selective Etching of Nitrogen-Doped Carbon by Steam

More information

New Plasma Diagnosis by Coherence Length Spectroscopy

New Plasma Diagnosis by Coherence Length Spectroscopy New Plasma Diagnosis by Coherence Length Spectroscopy Nopporn Poolyarat a and Young W. Kim b a The Development and Promotion of Science and Technology (DPST), Thailand b Department of Physics, Lehigh University

More information

Evaluation of the plasmaless gaseous etching process

Evaluation of the plasmaless gaseous etching process Solid State Phenomena Vol. 134 (28) pp 7-1 Online available since 27/Nov/2 at www.scientific.net (28) Trans Tech Publications, Switzerland doi:1.428/www.scientific.net/ssp.134.7 Evaluation of the plasmaless

More information

Plasma Chamber. Fortgeschrittenes Praktikum I. Supervisors: Baran Eren, Dr. Marco Wisse, Dr. Laurent Marot. Abstract

Plasma Chamber. Fortgeschrittenes Praktikum I. Supervisors: Baran Eren, Dr. Marco Wisse, Dr. Laurent Marot. Abstract Plasma Chamber Fortgeschrittenes Praktikum I Supervisors: Baran Eren, Dr. Marco Wisse, Dr. Laurent Marot Abstract The aims of this experiment are to be familiar with a vacuum chamber, to understand what

More information

Effect of nitrogen addition on the band gap, core level shift, surface energy, and the threshold field of electron emission of the SrTiO 3 thin films

Effect of nitrogen addition on the band gap, core level shift, surface energy, and the threshold field of electron emission of the SrTiO 3 thin films JOURNAL OF APPLIED PHYSICS 102, 114906 2007 Effect of nitrogen addition on the band gap, core level shift, surface energy, and the threshold field of electron emission of the SrTiO 3 thin films H. J. Bian

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

Al/Ti/4H SiC Schottky barrier diodes with inhomogeneous barrier heights

Al/Ti/4H SiC Schottky barrier diodes with inhomogeneous barrier heights Al/Ti/4H SiC Schottky barrier diodes with inhomogeneous barrier heights Wang Yue-Hu( ), Zhang Yi-Men( ), Zhang Yu-Ming( ), Song Qing-Wen( ), and Jia Ren-Xu( ) School of Microelectronics and Key Laboratory

More information

Advances in Back-side Via Etching of SiC for GaN Device Applications

Advances in Back-side Via Etching of SiC for GaN Device Applications Advances in Back-side Via Etching of SiC for GaN Device Applications Anthony Barker, Kevin Riddell, Huma Ashraf & Dave Thomas SPTS Technologies, Ringland Way, Newport NP18 2TA, UK, dave.thomas@spts.com,

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

1 EX/P4-8. Hydrogen Concentration of Co-deposited Carbon Films Produced in the Vicinity of Local Island Divertor in Large Helical Device

1 EX/P4-8. Hydrogen Concentration of Co-deposited Carbon Films Produced in the Vicinity of Local Island Divertor in Large Helical Device 1 EX/P4-8 Hydrogen Concentration of Co-deposited Carbon Films Produced in the Vicinity of Local Island Divertor in Large Helical Device T. Hino 1,2), T. Hirata 1), N. Ashikawa 2), S. Masuzaki 2), Y. Yamauchi

More information

Gas utilization in remote plasma cleaning and stripping applications

Gas utilization in remote plasma cleaning and stripping applications Gas utilization in remote plasma cleaning and stripping applications B. E. E. Kastenmeier IBM Semiconductor Research and Development Center, 2070 Rt. 52, Zip E40, Hopewell Junction, New York 12533 G. S.

More information

Gaetano L Episcopo. Scanning Electron Microscopy Focus Ion Beam and. Pulsed Plasma Deposition

Gaetano L Episcopo. Scanning Electron Microscopy Focus Ion Beam and. Pulsed Plasma Deposition Gaetano L Episcopo Scanning Electron Microscopy Focus Ion Beam and Pulsed Plasma Deposition Hystorical background Scientific discoveries 1897: J. Thomson discovers the electron. 1924: L. de Broglie propose

More information

Atomic layer deposition of titanium nitride

Atomic layer deposition of titanium nitride Atomic layer deposition of titanium nitride Jue Yue,version4, 04/26/2015 Introduction Titanium nitride is a hard and metallic material which has found many applications, e.g.as a wear resistant coating[1],

More information

Supplementary Information. Experimental Evidence of Exciton Capture by Mid-Gap Defects in CVD. Grown Monolayer MoSe2

Supplementary Information. Experimental Evidence of Exciton Capture by Mid-Gap Defects in CVD. Grown Monolayer MoSe2 Supplementary Information Experimental Evidence of Exciton Capture by Mid-Gap Defects in CVD Grown Monolayer MoSe2 Ke Chen 1, Rudresh Ghosh 2,3, Xianghai Meng 1, Anupam Roy 2,3, Joon-Seok Kim 2,3, Feng

More information

Control of Optical Properties by the Stepwise Chemical and Plasma Spray Treatment of Polycarbonate

Control of Optical Properties by the Stepwise Chemical and Plasma Spray Treatment of Polycarbonate Appl. Sci. Converg. Technol. 27(6): 135-139 (2018) https://doi.org/10.5757/asct.2018.27.6.135 Research Paper Control of Optical Properties by the Stepwise Chemical and Plasma Spray Treatment of Polycarbonate

More information

Supplementary Information. Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction

Supplementary Information. Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction Supplementary Information Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction Neil P. Dasgupta 1 ǂ, Chong Liu 1,2 ǂ, Sean Andrews 1,2, Fritz B. Prinz

More information

Supplementary Information

Supplementary Information Supplementary Information Supplementary Figure 1. fabrication. A schematic of the experimental setup used for graphene Supplementary Figure 2. Emission spectrum of the plasma: Negative peaks indicate an

More information

Sunlight loss for femtosecond microstructured silicon with two impurity bands

Sunlight loss for femtosecond microstructured silicon with two impurity bands Sunlight loss for femtosecond microstructured silicon with two impurity bands Fang Jian( ), Chen Chang-Shui( ), Wang Fang( ), and Liu Song-Hao( ) Institute of Biophotonics, South China Normal University,

More information

Bi-directional phase transition of Cu/6H SiC( ) system discovered by positron beam study

Bi-directional phase transition of Cu/6H SiC( ) system discovered by positron beam study Applied Surface Science 194 (2002) 278 282 Bi-directional phase transition of Cu/6H SiC(0 0 0 1) system discovered by positron beam study J.D. Zhang a,*, H.M. Weng b, Y.Y. Shan a, H.M. Ching a, C.D. Beling

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

The affinity of Si N and Si C bonding in amorphous silicon carbon nitride (a-sicn) thin film

The affinity of Si N and Si C bonding in amorphous silicon carbon nitride (a-sicn) thin film Diamond & Related Materials 14 (2005) 1126 1130 www.elsevier.com/locate/diamond The affinity of Si N and Si C bonding in amorphous silicon carbon nitride (a-sicn) thin film C.W. Chen a, *, C.C. Huang a,

More information

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma Journal of The Electrochemical Society, 147 (5) 1859-1863 (2000) 1859 Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma The Effects of Gas Additives Ji-Myon Lee, Ki-Myung Chang,

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Chapter 7. Plasma Basics

Chapter 7. Plasma Basics Chapter 7 Plasma Basics 2006/4/12 1 Objectives List at least three IC processes using plasma Name three important collisions in plasma Describe mean free path Explain how plasma enhance etch and CVD processes

More information

Optical plasma emission spectroscopy of etching plasmas used in Si-based semiconductor processing

Optical plasma emission spectroscopy of etching plasmas used in Si-based semiconductor processing INSTITUTE OF PHYSICS PUBLISHING Plasma Sources Sci. Technol. (00) A A30 PLASMA SOURCES SCIENCE AND TECHNOLOGY PII: S093-05(0)3900-X Optical plasma emission spectroscopy of etching plasmas used in Si-based

More information

Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation

Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation Microelectronic Engineering 73 74 (2004) 312 318 www.elsevier.com/locate/mee Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation E. Gogolides *, C. Boukouras, G. Kokkoris,

More information

Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics

Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics Richard Haight IBM TJ Watson Research Center PO Box 218 Yorktown Hts., NY 10598 Collaborators Al Wagner Pete Longo Daeyoung

More information

P. Diomede, D. J. Economou and V. M. Donnelly Plasma Processing Laboratory, University of Houston

P. Diomede, D. J. Economou and V. M. Donnelly Plasma Processing Laboratory, University of Houston P. Diomede, D. J. Economou and V. M. Donnelly Plasma Processing Laboratory, University of Houston 1 Outline Introduction PIC-MCC simulation of tailored bias on boundary electrode Semi-analytic model Comparison

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

Table of Content. Mechanical Removing Techniques. Ultrasonic Machining (USM) Sputtering and Focused Ion Beam Milling (FIB)

Table of Content. Mechanical Removing Techniques. Ultrasonic Machining (USM) Sputtering and Focused Ion Beam Milling (FIB) Table of Content Mechanical Removing Techniques Ultrasonic Machining (USM) Sputtering and Focused Ion Beam Milling (FIB) Ultrasonic Machining In ultrasonic machining (USM), also called ultrasonic grinding,

More information

Chapter 7 Plasma Basic

Chapter 7 Plasma Basic Chapter 7 Plasma Basic Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three IC processes

More information

Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma

Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma Journal of the Korean Physical Society, Vol. 38, No. 3, March 001, pp. 59 63 Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma Jong-Sik Kim and Gon-Ho Kim

More information

PHI 5000 Versaprobe-II Focus X-ray Photo-electron Spectroscopy

PHI 5000 Versaprobe-II Focus X-ray Photo-electron Spectroscopy PHI 5000 Versaprobe-II Focus X-ray Photo-electron Spectroscopy The very basic theory of XPS XPS theroy Surface Analysis Ultra High Vacuum (UHV) XPS Theory XPS = X-ray Photo-electron Spectroscopy X-ray

More information

Plasma Kinetic Study of Silicon-Dioxide Removal with Fluorocompounds in a Plasma-Enhanced Chemical Vapor Deposition Chamber

Plasma Kinetic Study of Silicon-Dioxide Removal with Fluorocompounds in a Plasma-Enhanced Chemical Vapor Deposition Chamber Journal of the Korean Physical Society, Vol. 51, No. 3, September 2007, pp. 978 983 Plasma Kinetic Study of Silicon-Dioxide Removal with Fluorocompounds in a Plasma-Enhanced Chemical Vapor Deposition Chamber

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

Supporting Information

Supporting Information Supporting Information The Design of Hierarchical Ternary Hybrid for Fiber-Shaped Asymmetric Supercapacitor with High Volumetric Energy Density Xunliang Cheng, Jing Zhang, Jing Ren, Ning Liu, Peining Chen,

More information

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* Kapil Rajaraman and Mark J. Kushner 1406 W. Green St. Urbana, IL 61801 rajaramn@uiuc.edu mjk@uiuc.edu http://uigelz.ece.uiuc.edu November

More information

Supporting information

Supporting information Supporting information A Facile and Large-area Fabrication Method of Superhydrophobic Self-cleaning Flourinated Polysiloxane/TiO 2 Nanocomposite Coatings with Long-term Durability Xiaofeng Ding, Shuxue

More information

VARIATION OF ION ENERGY FLUX WITH INCREASING WORKING GAS PRESSURES USING FARADAY CUP IN PLASMA FOCUS DEVICE

VARIATION OF ION ENERGY FLUX WITH INCREASING WORKING GAS PRESSURES USING FARADAY CUP IN PLASMA FOCUS DEVICE PK ISSN 0022-2941; CODEN JNSMAC Vol. 48, No.1 & 2 (April & October 2008) PP 65-72 VARIATION OF ION ENERGY FLUX WITH INCREASING WORKING GAS PRESSURES USING FARADAY CUP IN PLASMA FOCUS DEVICE Department

More information

Defense Technical Information Center Compilation Part Notice

Defense Technical Information Center Compilation Part Notice UNCLASSIFIED Defense Technical Information Center Compilation Part Notice ADP013351 TITLE: The Effects of Plasma Induced Damage on the Channel Layers of Ion Implanted GaAs MESFETs during Reactive Ion Etching

More information

Control of deposition profile of Cu for largescale integration (LSI) interconnects by plasma chemical vapor deposition*

Control of deposition profile of Cu for largescale integration (LSI) interconnects by plasma chemical vapor deposition* Pure Appl. Chem., Vol. 77, No. 2, pp. 391 398, 2005. DOI: 10.1351/pac200577020391 2005 IUPAC Control of deposition profile of Cu for largescale integration (LSI) interconnects by plasma chemical vapor

More information

Optical emission spectroscopic studies and comparisons of CH 3 F/CO 2 and CH 3 F/O 2 inductively coupled plasmas

Optical emission spectroscopic studies and comparisons of CH 3 F/CO 2 and CH 3 F/O 2 inductively coupled plasmas Optical emission spectroscopic studies and comparisons of CH 3 F/CO 2 and CH 3 F/O 2 inductively coupled plasmas Qiaowei Lou, Sanbir Kaler, Vincent M. Donnelly, a) and Demetre J. Economou b) Plasma Processing

More information

Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges

Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges Korean J. Chem. Eng., 21(6), 1235-1239 (2004) Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges Hyung Jo Park*, Hyun-Wook Ra, Kwang Sup Song** and Yoon-Bong

More information

Application of the GD-Profiler 2 to the PV domain

Application of the GD-Profiler 2 to the PV domain Application of the GD-Profiler 2 to the PV domain GD Profiler 2 RF GDOES permits to follow the distribution of the elements as function of depth. This is an ultra fast characterisation technique capable

More information

The Monte Carlo Simulation of Secondary Electrons Excitation in the Resist PMMA

The Monte Carlo Simulation of Secondary Electrons Excitation in the Resist PMMA Applied Physics Research; Vol. 6, No. 3; 204 ISSN 96-9639 E-ISSN 96-9647 Published by Canadian Center of Science and Education The Monte Carlo Simulation of Secondary Electrons Excitation in the Resist

More information

Improved Interfacial and Electrical Properties of GaSb Metal Oxide

Improved Interfacial and Electrical Properties of GaSb Metal Oxide Improved Interfacial and Electrical Properties of GaSb Metal Oxide Semiconductor Devices Passivated with Acidic (NH 4 ) 2 S Solution Lianfeng Zhao, Zhen Tan, Jing Wang, and Jun Xu * Tsinghua National Laboratory

More information

X- ray Photoelectron Spectroscopy and its application in phase- switching device study

X- ray Photoelectron Spectroscopy and its application in phase- switching device study X- ray Photoelectron Spectroscopy and its application in phase- switching device study Xinyuan Wang A53073806 I. Background X- ray photoelectron spectroscopy is of great importance in modern chemical and

More information

Implantation Energy Dependence on Deuterium Retention Behaviors for the Carbon Implanted Tungsten

Implantation Energy Dependence on Deuterium Retention Behaviors for the Carbon Implanted Tungsten J. Plasma Fusion Res. SERIES, Vol. 10 (2013) Implantation Energy Dependence on Deuterium Retention Behaviors for the Carbon Implanted Tungsten Yasuhisa Oya 1) *, Makoto Kobayashi 1), Naoaki Yoshida 2),

More information

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes Fabrication of the scanning thermal microscopy (SThM) probes is summarized in Supplementary Fig. 1 and proceeds

More information

Enhanced photocurrent of ZnO nanorods array sensitized with graphene. quantum dots

Enhanced photocurrent of ZnO nanorods array sensitized with graphene. quantum dots Electronic Supplementary Material (ESI) for RSC Advances. This journal is The Royal Society of Chemistry 2015 Enhanced photocurrent of ZnO nanorods array sensitized with graphene quantum dots Bingjun Yang,

More information