Atomic layer deposition of titanium nitride

Size: px
Start display at page:

Download "Atomic layer deposition of titanium nitride"

Transcription

1 Atomic layer deposition of titanium nitride Jue Yue,version4, 04/26/2015 Introduction Titanium nitride is a hard and metallic material which has found many applications, e.g.as a wear resistant coating[1], a diffusion barrier for copper in microelectronics[2-5], a candidate for capacitator electrode material in dynamic random access memory. Previous studies have reported thermal ALD of TiN with TDMAT, Plasma enhanced (PE) processes have been studied with TDMAT and H2, H2/N2 mixture and N2 plasmas as reactive gas, In this paper,we studied the growth kinetics, resistivity and purity of TiN films grown using ALD/PEALD with a metalorganic precursor and N2 or NH3. Experiment The experiments were performed in an ALD chamber.the ALD setup can accommodate up to six precursors and six reactive gases. Computer controlled pneumatic valves allow the flow of TDMAT (Sigma Aldrich, %) in Ar carrier gas into the chamber.the TDMAT precursor bottle is kept at 40 by a temperature controller. The tube from the precursor bottle to the

2 chamber is heated to 50 to prevent condensation of the precursor gas. The vacuum chamber walls were heated to 80. The deposition temperature is controlled by placing the samples on a resistive heating element. Based on calibration with a thermocouple, the temperature is set by adjusting the current through the heater. The system allows for both standard thermal ALD and PEALD, where the gases are preactivated in an inductively coupled plasma radio frequency (ICP RF) plasma source, which is separated from the growth chamber by a computer controlled gate valve. Samples with 100 nm thermally grown SiO2 and pieces of ptype Si(100) wafers were used as substrates for film deposition. Before deposition, the substrates were RCA cleaned. The silicon dioxide substrate was used for resistivity measurements, with a four point probe. The Si substrate was used for thickness measurements with X-ray reflectometry (XRR) using a Bruker D8 Advance Diffractometer. The chemical composition of the deposited films was determined by XPS, using a Thermo VG Scientific ESCALAB 220i-XL with a monochromatic Al K a X-ray source. The XPS was done ex-situ. The films were

3 sputtered in steps of 300 s with a beam of Ar ions to obtain a depth profile. The ions were accelerated to 2KV in an ion gun, forming a beam with a current of 220 na and a spot size of 2 mm 2 mm. Results and discussion The growth rate (growth per cycle, GPC) as a function of deposition temperature is shown in Fig. 1. as a function of deposition temperature. The GPC is higher for PEALD compared to thermal ALD for both N2 and NH3. Except for the PE process below 100, the GPC with ammonia is higher compared to processes with nitrogen.

4 Fig.1 Growth rate for films deposited using (a) nitrogen and (b) ammonia as reactive gas. The resistivity of the titanium nitride film as a function of plasma power and plasma exposure time is given in Fig. 2. The resistivity decreases strongly by an increase in plasma power between 0 and 200 W. A further increase of the plasma power only results in a marginal improvement of the conductivity. Longer plasma times further reduce the resistivity of the TiN films. The choice of plasma parameters does not significantly affect the growth rate. Fig.2. Resistivity of TiN films deposited with TDMAT and NH3 at 200 as a function of plasma power. Increasing power results in lower resistivity. Inset shows the effect of plasma time on the resistivity (plasma power 500 W).

5 Fig3 Resistivity as a function of thickness for films at 200 and 6 s plasma at 300 W. Fig.4 XPS depth profile of films. Table.1 Overview of deposition parameters, film stoichiometry and resistivity. *Conclusion *Acknowledgments *References [1] M. Hua, H.Y. Tam, H.Y. Ma, C.K. Mok, Wear 260 (2006) [2] K.-C. Park, K.-B. Kim, I.J.M.M. Raaijmakers, K. Ngan, J. Appl. Phys. 80 (1996) [3] J.-S. Min, H.-S. Park, S.-W. Kang, Appl. Phys. Lett. 75 (1999) [4] J.W. Elam, M. Schuisky, J.D. Ferguson, S.M. George, Thin Solid Films 436 (2003) 145.

6 [5] J.Y. Kim, S. Seo, D.Y. Kim, H. Jeon, Y. Kim, J. Vac. Sci. Technol. A 22 (2004) 8.

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

Technology for Micro- and Nanostructures Micro- and Nanotechnology

Technology for Micro- and Nanostructures Micro- and Nanotechnology Lecture 10: Deposition Technology for Micro- and Nanostructures Micro- and Nanotechnology Peter Unger mailto: peter.unger @ uni-ulm.de Institute of Optoelectronics University of Ulm http://www.uni-ulm.de/opto

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25) 1 TMT4320 Nanomaterials November 10 th, 2015 Thin films by physical/chemical methods (From chapter 24 and 25) 2 Thin films by physical/chemical methods Vapor-phase growth (compared to liquid-phase growth)

More information

Hotwire-assisted Atomic Layer Deposition of Pure Metals and Metal Nitrides

Hotwire-assisted Atomic Layer Deposition of Pure Metals and Metal Nitrides Hotwire-assisted Atomic Layer Deposition of Pure Metals and Metal Nitrides Alexey Kovalgin MESA+ Institute for Nanotechnology Semiconductor Components group a.y.kovalgin@utwente.nl 1 Motivation 1. Materials

More information

Ceramic Processing Research

Ceramic Processing Research Journal of Ceramic Processing Research. Vol. 11, No. 5, pp. 581~585 (2010) J O U R N A L O F Ceramic Processing Research The changing behavior of the dielectric constant of a-sic:h films deposited by remote

More information

Supplementary Information. Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction

Supplementary Information. Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction Supplementary Information Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction Neil P. Dasgupta 1 ǂ, Chong Liu 1,2 ǂ, Sean Andrews 1,2, Fritz B. Prinz

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

In-situ Monitoring of Thin-Film Formation Processes by Spectroscopic Ellipsometry

In-situ Monitoring of Thin-Film Formation Processes by Spectroscopic Ellipsometry In-situ Monitoring of Thin-Film Formation Processes by Spectroscopic Ellipsometry Alexey Kovalgin Chair of Semiconductor Components MESA+ Institute for Nanotechnology Motivation Advantages of in-situ over

More information

Gas utilization in remote plasma cleaning and stripping applications

Gas utilization in remote plasma cleaning and stripping applications Gas utilization in remote plasma cleaning and stripping applications B. E. E. Kastenmeier IBM Semiconductor Research and Development Center, 2070 Rt. 52, Zip E40, Hopewell Junction, New York 12533 G. S.

More information

Half-Integer Quantum Conductance States

Half-Integer Quantum Conductance States Supporting Information A 50 mv Cu/SiO /W Memristor with Half-Integer Quantum Conductance States S. R. Nandakumar, Marie Minvielle, Saurabh Nagar, Catherine Dubourdieu, and Bipin Rajendran, Department of

More information

Procédés de dépôt plasma avec injection pulsée de précurseurs (PECVD et PEALD) :

Procédés de dépôt plasma avec injection pulsée de précurseurs (PECVD et PEALD) : Procédés de dépôt plasma avec injection pulsée de précurseurs (PECVD et PEALD) : Impact du réacteur et de la pression et développement de dépôts sélectifs C. Vallée 1,3, R. Gassilloud 2, R. Vallat 1,2,

More information

Dynamic Equipment and Process Simulation for Atomic Layer Deposition Technology

Dynamic Equipment and Process Simulation for Atomic Layer Deposition Technology Dynamic Equipment and Process Simulation for Atomic Layer Deposition Technology Wei Lei, Yuhong Cai, Laurent Henn-Lecordier and Gary W. Rubloff Department of Materials Science and Engineering and Institute

More information

EE-612: Lecture 22: CMOS Process Steps

EE-612: Lecture 22: CMOS Process Steps EE-612: Lecture 22: CMOS Process Steps Mark Lundstrom Electrical and Computer Engineering Purdue University West Lafayette, IN USA Fall 2006 NCN www.nanohub.org Lundstrom EE-612 F06 1 outline 1) Unit Process

More information

Supplementary Materials

Supplementary Materials Atomic layer-deposited tunnel oxide stabilizes silicon photoanodes for water oxidation Yi Wei Chen 1, Jonathan D. Prange 2, Simon Dühnen 2, Yohan Park 1, Marika Gunji 1, Christopher E. D. Chidsey 2, and

More information

CVD-3 LFSIN SiN x Process

CVD-3 LFSIN SiN x Process CVD-3 LFSIN SiN x Process Top Electrode, C Bottom Electrode, C Pump to Base Time (s) SiH 4 Flow Standard LFSIN Process NH 3 Flow N 2 HF (watts) LF (watts) Pressure (mtorr Deposition Time min:s.s Pump to

More information

Layer-modulated synthesis of uniform tungsten disulfide nanosheet using gas-phase precursors.

Layer-modulated synthesis of uniform tungsten disulfide nanosheet using gas-phase precursors. Layer-modulated synthesis of uniform tungsten disulfide nanosheet using gas-phase precursors. Jusang Park * Hyungjun Kim School of Electrical and Electronics Engineering, Yonsei University, 262 Seongsanno,

More information

Repetition: Practical Aspects

Repetition: Practical Aspects Repetition: Practical Aspects Reduction of the Cathode Dark Space! E x 0 Geometric limit of the extension of a sputter plant. Lowest distance between target and substrate V Cathode (Target/Source) - +

More information

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide ARTICLE IN PRESS Journal of Physics and Chemistry of Solids 69 (2008) 555 560 www.elsevier.com/locate/jpcs Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide Jun Wu a,, Ying-Lang

More information

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 Bob O'Handley Martin Schmidt Quiz Nov. 17, 2004 Ion implantation, diffusion [15] 1. a) Two identical p-type Si wafers (N a = 10 17 cm

More information

Agenda. 1. Atomic Layer Deposition Technology

Agenda. 1. Atomic Layer Deposition Technology Agenda 1. Atomic Layer Deposition Technology 2. What is ALD? Atomic Layer Deposition is invented in 1977 by T. Suntola et al. - New Deposition Method for Electro-Luminescent Display (ZnS:Mn Thin Films)

More information

CHARACTERIZING PROCESS SEMICONDUCTOR THIN FILMS WITH A CONFOCAL MICRO X-RAY FLUORESCENCE MICROSCOPE

CHARACTERIZING PROCESS SEMICONDUCTOR THIN FILMS WITH A CONFOCAL MICRO X-RAY FLUORESCENCE MICROSCOPE CHARACTERIZING PROCESS SEMICONDUCTOR THIN FILMS WITH A CONFOCAL MICRO X-RAY FLUORESCENCE MICROSCOPE 218 Chris M. Sparks 1, Elizabeth P. Hastings 2, George J. Havrilla 2, and Michael Beckstead 2 1. ATDF,

More information

Chapter 7 Plasma Basic

Chapter 7 Plasma Basic Chapter 7 Plasma Basic Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three IC processes

More information

Formation mechanism and Coulomb blockade effect in self-assembled gold quantum dots

Formation mechanism and Coulomb blockade effect in self-assembled gold quantum dots Formation mechanism and Coulomb blockade effect in self-assembled gold quantum dots S. F. Hu a) National Nano Device Laboratories, Hsinchu 300, Taiwan R. L. Yeh and R. S. Liu Department of Chemistry, National

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Electrochemical Deposition of Iron Nanoparticles on PPY and H terminated Si substrates. Karan Sukhija Co-op Term # 1 April 28 th, 2005

Electrochemical Deposition of Iron Nanoparticles on PPY and H terminated Si substrates. Karan Sukhija Co-op Term # 1 April 28 th, 2005 Electrochemical Deposition of Iron Nanoparticles on PPY and H terminated Si substrates Karan Sukhija Co-op Term # 1 April 28 th, 2005 Future Suggested Experiments Acknowledgments Presentation Outline Background

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 EE143 Fall 2016 Microfabrication Technologies Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1 Vacuum Basics Units 1 atmosphere

More information

X-ray photoelectron spectroscopic characterization of molybdenum nitride thin films

X-ray photoelectron spectroscopic characterization of molybdenum nitride thin films Korean J. Chem. Eng., 28(4), 1133-1138 (2011) DOI: 10.1007/s11814-011-0036-2 INVITED REVIEW PAPER X-ray photoelectron spectroscopic characterization of molybdenum nitride thin films Jeong-Gil Choi Department

More information

STRONG DOUBLE LAYER STRUCTURE IN THERMIONIC VACUUM ARC PLASMA *

STRONG DOUBLE LAYER STRUCTURE IN THERMIONIC VACUUM ARC PLASMA * STRONG DOUBLE LAYER STRUCTURE IN THERMIONIC VACUUM ARC PLASMA * V. TIRON 1, L. MIHAESCU 1, C.P. LUNGU 2 and G. POPA 1 1 Faculty of Physics, Al. I. Cuza University, 700506, Iasi, Romania 2 National Institute

More information

Electronic Supplementary Information. Molecular Antenna Tailored Organic Thin-film Transistor for. Sensing Application

Electronic Supplementary Information. Molecular Antenna Tailored Organic Thin-film Transistor for. Sensing Application Electronic Supplementary Material (ESI) for Materials Horizons. This journal is The Royal Society of Chemistry 2017 Electronic Supplementary Information Molecular Antenna Tailored Organic Thin-film Transistor

More information

Room temperature synthesis of GaN driven by kinetic. energy be-yond the limit of thermodynamics

Room temperature synthesis of GaN driven by kinetic. energy be-yond the limit of thermodynamics Supporting Information Room temperature synthesis of GaN driven by kinetic energy be-yond the limit of thermodynamics Takane Imaoka 1,4,5, Takeru Okada 2,4, Seiji Samukawa 2,3,4*, and Kimihisa Yamamoto

More information

Hopping in CVD Grown Single-layer MoS 2

Hopping in CVD Grown Single-layer MoS 2 Supporting Information for Large Thermoelectricity via Variable Range Hopping in CVD Grown Single-layer MoS 2 Jing Wu 1,2,3, Hennrik Schmidt 1,2, Kiran Kumar Amara 4, Xiangfan Xu 5, Goki Eda 1,2,4, and

More information

Nanofabrication Lab Process Development for High-k Dielectrics

Nanofabrication Lab Process Development for High-k Dielectrics Nanofabrication Lab Process Development for Highk Dielectrics Each lab group consists of 4 to 5 students. The dates of these Labs are: Lab 1 Date 14.02.2013 Time: 812 am Lab 2 Date 14.02.2013 Time: 15

More information

Alternative deposition solution for cost reduction of TSV integration

Alternative deposition solution for cost reduction of TSV integration Alternative deposition solution for cost reduction of TSV integration J. Vitiello, F. Piallat, L. Bonnet KOBUS 611 rue Aristide Bergès, Z.A. de Pré Millet, Montbonnot-Saint-Martin, 38330 France Ph: +33

More information

PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS

PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS O. Goossens, D. Vangeneugden, S. Paulussen and E. Dekempeneer VITO Flemish Institute for Technological Research, Boeretang

More information

Supporting Information for: Sustained sub-60 mv/decade switching via the negative capacitance effect in MoS 2 transistors

Supporting Information for: Sustained sub-60 mv/decade switching via the negative capacitance effect in MoS 2 transistors Supporting Information for: Sustained sub-60 mv/decade switching via the negative capacitance effect in MoS 2 transistors Felicia A. McGuire 1, Yuh-Chen Lin 1, Katherine Price 1, G. Bruce Rayner 2, Sourabh

More information

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas Integrated Ferroelectrics, 90: 95 106, 2007 Copyright Taylor & Francis Group, LLC ISSN 1058-4587 print / 1607-8489 online DOI: 10.1080/10584580701249371 Inductively Coupled Plasma Reactive Ion Etching

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

Thin Film Deposition. Reading Assignments: Plummer, Chap 9.1~9.4

Thin Film Deposition. Reading Assignments: Plummer, Chap 9.1~9.4 Thin Film Deposition Reading Assignments: Plummer, Chap 9.1~9.4 Thermally grown Deposition Thin Film Formation Thermally grown SiO 2 Deposition SiO 2 Oxygen is from gas phase Silicon from substrate Oxide

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf by S. Wolf Chapter 15 ALUMINUM THIN-FILMS and SPUTTER-DEPOSITION 2004 by LATTICE PRESS CHAPTER 15 - CONTENTS Aluminum Thin-Films Sputter-Deposition Process Steps Physics of Sputter-Deposition Magnetron-Sputtering

More information

Direct Measurement of Adhesion Energy of Monolayer Graphene As-Grown. on Copper and Its Application to Renewable Transfer Process

Direct Measurement of Adhesion Energy of Monolayer Graphene As-Grown. on Copper and Its Application to Renewable Transfer Process SUPPORTING INFORMATION Direct Measurement of Adhesion Energy of Monolayer Graphene As-Grown on Copper and Its Application to Renewable Transfer Process Taeshik Yoon 1, Woo Cheol Shin 2, Taek Yong Kim 2,

More information

Supporting Information for: Electrical probing and tuning of molecular. physisorption on graphene

Supporting Information for: Electrical probing and tuning of molecular. physisorption on graphene Supporting Information for: Electrical probing and tuning of molecular physisorption on graphene Girish S. Kulkarni, Karthik Reddy #, Wenzhe Zang, Kyunghoon Lee, Xudong Fan *, and Zhaohui Zhong * Department

More information

DOE WEB SEMINAR,

DOE WEB SEMINAR, DOE WEB SEMINAR, 2013.03.29 Electron energy distribution function of the plasma in the presence of both capacitive field and inductive field : from electron heating to plasma processing control 1 mm PR

More information

TiO2/sapphire Beam Splitter for High-order Harmonics

TiO2/sapphire Beam Splitter for High-order Harmonics Technical Communication TiO2/sapphire Beam Splitter for High-order Harmonics Y. Sanjo*1, M. Murata*1, Y. Tanaka*1, H. Kumagai*1, and M. Chigane*2 *1 Graduate School of Engineering,Osaka City University,

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

arxiv: v1 [physics.plasm-ph] 30 May 2013

arxiv: v1 [physics.plasm-ph] 30 May 2013 arxiv:135.763v1 [physics.plasm-ph] 3 May 213 Time resolved measurement of film growth during reactive high power pulsed magnetron sputtering (HIPIMS) of titanium nitride F. Mitschker, M. Prenzel, J. Benedikt,

More information

Supporting Information

Supporting Information Supporting Information Assembly and Densification of Nanowire Arrays via Shrinkage Jaehoon Bang, Jonghyun Choi, Fan Xia, Sun Sang Kwon, Ali Ashraf, Won Il Park, and SungWoo Nam*,, Department of Mechanical

More information

TiO 2 and Al 2 O 3 ultra thin nanolaminates growth by ALD; instrument automation and films characterization

TiO 2 and Al 2 O 3 ultra thin nanolaminates growth by ALD; instrument automation and films characterization INSTRUMENTACIÓN Revista Mexicana de Física 58 (2012) 459 465 DICIEMBRE 2012 TiO 2 and Al 2 O 3 ultra thin nanolaminates growth by ALD; instrument automation and films characterization H. Tiznado, D. Domínguez,

More information

Defense Technical Information Center Compilation Part Notice

Defense Technical Information Center Compilation Part Notice UNCLASSIFIED Defense Technical Information Center Compilation Part Notice ADP013351 TITLE: The Effects of Plasma Induced Damage on the Channel Layers of Ion Implanted GaAs MESFETs during Reactive Ion Etching

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 4: Film

More information

Low Power Phase Change Memory via Block Copolymer Self-assembly Technology

Low Power Phase Change Memory via Block Copolymer Self-assembly Technology Low Power Phase Change Memory via Block Copolymer Self-assembly Technology Beom Ho Mun 1, Woon Ik Park 1, You Yin 2, Byoung Kuk You 1, Jae Jin Yun 1, Kung Ho Kim 1, Yeon Sik Jung 1*, and Keon Jae Lee 1*

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Engineered doping of organic semiconductors for enhanced thermoelectric efficiency G.-H. Kim, 1 L. Shao, 1 K. Zhang, 1 and K. P. Pipe 1,2,* 1 Department of Mechanical Engineering, University of Michigan,

More information

Supporting Information. Chlorine in PbCl 2 -Derived Hybrid-Perovskite Solar Absorbers

Supporting Information. Chlorine in PbCl 2 -Derived Hybrid-Perovskite Solar Absorbers Supporting Information Chlorine in PbCl 2 -Derived Hybrid-Perovskite Solar Absorbers Vanessa L. Pool, Aryeh Gold-Parker, Michael D. McGehee and Michael F. Toney * SLAC National Accelerator Laboratory,

More information

Effect of nitrogen addition on the band gap, core level shift, surface energy, and the threshold field of electron emission of the SrTiO 3 thin films

Effect of nitrogen addition on the band gap, core level shift, surface energy, and the threshold field of electron emission of the SrTiO 3 thin films JOURNAL OF APPLIED PHYSICS 102, 114906 2007 Effect of nitrogen addition on the band gap, core level shift, surface energy, and the threshold field of electron emission of the SrTiO 3 thin films H. J. Bian

More information

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu X-ray Photoelectron Spectroscopy Introduction Qualitative analysis Quantitative analysis Charging compensation Small area analysis and XPS imaging

More information

A Novel Approach to the Layer Number-Controlled and Grain Size- Controlled Growth of High Quality Graphene for Nanoelectronics

A Novel Approach to the Layer Number-Controlled and Grain Size- Controlled Growth of High Quality Graphene for Nanoelectronics Supporting Information A Novel Approach to the Layer Number-Controlled and Grain Size- Controlled Growth of High Quality Graphene for Nanoelectronics Tej B. Limbu 1,2, Jean C. Hernández 3, Frank Mendoza

More information

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher Appl. Sci. Converg. Technol. 26(6): 189-194 (2017) http://dx.doi.org/10.5757/asct.2017.26.6.189 Research Paper Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in

More information

Nanocrystalline Si formation inside SiN x nanostructures usingionized N 2 gas bombardment

Nanocrystalline Si formation inside SiN x nanostructures usingionized N 2 gas bombardment 연구논문 한국진공학회지제 16 권 6 호, 2007 년 11 월, pp.474~478 Nanocrystalline Si formation inside SiN x nanostructures usingionized N 2 gas bombardment Min-Cherl Jung 1, Young Ju Park 2, Hyun-Joon Shin 1, Jun Seok Byun

More information

Device Fabrication: Etch

Device Fabrication: Etch Device Fabrication: Etch 1 Objectives Upon finishing this course, you should able to: Familiar with etch terminology Compare wet and dry etch processes processing and list the main dry etch etchants Become

More information

Fundamental insight into ALD processing by in-

Fundamental insight into ALD processing by in- Fakultät Elektrotechnik und Informationstechnik Institut für Halbleiter- und Mikrosystemtechnik Fundamental insight into ALD processing by in- situ observation Johann W. Bartha M. Albert, M. Junige and

More information

Plasma-Surface Interactions and Impact on Electron Energy Distribution Function

Plasma-Surface Interactions and Impact on Electron Energy Distribution Function Plasma-Surface Interactions and Impact on Electron Energy Distribution Function N. Fox-Lyon(a), N. Ning(b), D.B. Graves(b), V. Godyak(c) and G.S. Oehrlein(a) (a) University of Maryland, College Park (b)

More information

A. Optimizing the growth conditions of large-scale graphene films

A. Optimizing the growth conditions of large-scale graphene films 1 A. Optimizing the growth conditions of large-scale graphene films Figure S1. Optical microscope images of graphene films transferred on 300 nm SiO 2 /Si substrates. a, Images of the graphene films grown

More information

Chapter 7. Plasma Basics

Chapter 7. Plasma Basics Chapter 7 Plasma Basics 2006/4/12 1 Objectives List at least three IC processes using plasma Name three important collisions in plasma Describe mean free path Explain how plasma enhance etch and CVD processes

More information

Electronics Supplementary Information for. Manab Kundu, Cheuk Chi Albert Ng, Dmitri Y. Petrovykh and Lifeng Liu*

Electronics Supplementary Information for. Manab Kundu, Cheuk Chi Albert Ng, Dmitri Y. Petrovykh and Lifeng Liu* Electronics Supplementary Information for Nickel foam supported mesoporous MnO 2 nanosheet arrays with superior lithium storage performance Manab Kundu, Cheuk Chi Albert Ng, Dmitri Y. Petrovykh and Lifeng

More information

Wafer holders. Mo- or Ta- made holders Bonding: In (Ga), or In-free (clamped) Quick and easy transfer

Wafer holders. Mo- or Ta- made holders Bonding: In (Ga), or In-free (clamped) Quick and easy transfer Wafer holders Mo- or Ta- made holders Bonding: In (Ga), or In-free (clamped) Quick and easy transfer Image: In-free, 3-inch sample holder fitting a quarter of a 2- inch wafer Reflection High Energy Electron

More information

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC Xuefeng Hua, a) Department of Physics, University of Maryland, College Park, Maryland

More information

CVD-3 MFSIN-HU-2 SiN x Mixed Frequency Process

CVD-3 MFSIN-HU-2 SiN x Mixed Frequency Process CVD-3 MFSIN-HU-2 SiN x Mixed Frequency Process Standard MFSIN-HU-2 Process Top C Bottom C Pump to Base Time (s) SiH 4 Flow HF/ LF NH 3 Flow HF/LF N 2 HF/LF HF (watts) LF (watts) HF Time LF Time Pressure

More information

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes Fabrication of the scanning thermal microscopy (SThM) probes is summarized in Supplementary Fig. 1 and proceeds

More information

Direct-Write Deposition Utilizing a Focused Electron Beam

Direct-Write Deposition Utilizing a Focused Electron Beam Direct-Write Deposition Utilizing a Focused Electron Beam M. Fischer, J. Gottsbachner, S. Müller, W. Brezna, and H.D. Wanzenboeck Institute of Solid State Electronics, Vienna University of Technology,

More information

Song Fengqi, Zhang Lu, Zhu Lianzhong, Ge Jun, Wang Guanghou *

Song Fengqi, Zhang Lu, Zhu Lianzhong, Ge Jun, Wang Guanghou * Low energy cluster beam deposited BN films as the cascade for Field Emission 一 Song Fengqi, Zhang Lu, Zhu Lianzhong, Ge Jun, Wang Guanghou * National laboratory of Solid State Microstructures, Department

More information

High-density data storage: principle

High-density data storage: principle High-density data storage: principle Current approach High density 1 bit = many domains Information storage driven by domain wall shifts 1 bit = 1 magnetic nanoobject Single-domain needed Single easy axis

More information

Fabrication of Efficient Blue Light-Emitting Diodes with InGaN/GaN Triangular Multiple Quantum Wells. Abstract

Fabrication of Efficient Blue Light-Emitting Diodes with InGaN/GaN Triangular Multiple Quantum Wells. Abstract Fabrication of Efficient Blue Light-Emitting Diodes with InGaN/GaN Triangular Multiple Quantum Wells R. J. Choi, H. W. Shim 2, E. K. Suh 2, H. J. Lee 2, and Y. B. Hahn,2, *. School of Chemical Engineering

More information

Oxidation of hydrogenated crystalline silicon as an alternative approach for ultrathin SiO 2 growth

Oxidation of hydrogenated crystalline silicon as an alternative approach for ultrathin SiO 2 growth Institute of Physics Publishing Journal of Physics: Conference Series 10 (2005) 246 250 doi:10.1088/1742-6596/10/1/061 Second Conference on Microelectronics, Microsystems and Nanotechnology Oxidation of

More information

Thermal Chemistry of Copper Acetamidinate ALD Precursors on

Thermal Chemistry of Copper Acetamidinate ALD Precursors on Thermal Chemistry of Copper Acetamidinate ALD Precursors on Silicon Oxide Surfaces Studied by XPS Yunxi Yao and Francisco Zaera* Department of Chemistry, University of California, Riverside, CA 92521,

More information

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey Section 5: Thin Film Deposition part 1 : sputtering and evaporation Jaeger Chapter 6 Vacuum Basics 1. Units 1 atmosphere = 760 torr = 1.013x10 5 Pa 1 bar = 10 5 Pa = 750 torr 1 torr = 1 mm Hg 1 mtorr =

More information

Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods

Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods Methods for catalyst preparation Methods discussed in this lecture Physical vapour deposition - PLD

More information

CVD-3 SIO-HU SiO 2 Process

CVD-3 SIO-HU SiO 2 Process CVD-3 SIO-HU SiO 2 Process Top Electrode, C Bottom Electrode, C Pump to Base Time (s) SiH 4 Flow Standard SIO-HU Process N 2 O Flow N 2 HF (watts) LF (watts) Pressure (mtorr Deposition Time min:s.s Pump

More information

Ambient-protecting organic light transducer grown on pentacenechannel of photo-gating complementary inverter

Ambient-protecting organic light transducer grown on pentacenechannel of photo-gating complementary inverter Electronic Supplementary information Ambient-protecting organic light transducer grown on pentacenechannel of photo-gating complementary inverter Hee Sung Lee, a Kwang H. Lee, a Chan Ho Park, b Pyo Jin

More information

Lecture 1: Vapour Growth Techniques

Lecture 1: Vapour Growth Techniques PH3EC2 Vapour Growth and Epitaxial Growth Lecturer: Dr. Shinoj V K Lecture 1: Vapour Growth Techniques 1.1 Vapour growth The growth of single crystal materials from the vapour phase. Deposition from the

More information

Band alignment and optical properties of (ZrO 2 ) 0.66 (HfO 2 ) 0.34 gate dielectrics thin films on p-si (100)

Band alignment and optical properties of (ZrO 2 ) 0.66 (HfO 2 ) 0.34 gate dielectrics thin films on p-si (100) Paper Band alignment and optical properties of (ZrO 2 ) 0.66 (HfO 2 ) 0.34 gate dielectrics thin films on p-si (100) Hye Chung Shin, 1 Lee Seul Son, 1 Kyeom Ryong Kim, 1 Suhk Kun Oh, 1 Hee Jae Kang, 1*

More information

Large Storage Window in a-sinx/nc-si/a-sinx Sandwiched Structure

Large Storage Window in a-sinx/nc-si/a-sinx Sandwiched Structure 2017 Asia-Pacific Engineering and Technology Conference (APETC 2017) ISBN: 978-1-60595-443-1 Large Storage Window in a-sinx/nc-si/a-sinx Sandwiched Structure Xiang Wang and Chao Song ABSTRACT The a-sin

More information

A STUDY OF ATOMIC LAYER DEPOSITION AND REACTIVE PLASMA COMPATIBILITY WITH MESOPOROUS ORGANOSILICATE GLASS FILMS

A STUDY OF ATOMIC LAYER DEPOSITION AND REACTIVE PLASMA COMPATIBILITY WITH MESOPOROUS ORGANOSILICATE GLASS FILMS A STUDY OF ATOMIC LAYER DEPOSITION AND REACTIVE PLASMA COMPATIBILITY ITH MESOPOROUS ORGANOSILICATE GLASS FILMS E. Todd Ryan*, Melissa Freeman, Lynne Svedberg, J.J. Lee, Todd Guenther, Jim Connor, Katie

More information

Supporting Information

Supporting Information Electronic Supplementary Material (ESI) for ChemComm. This journal is The Royal Society of Chemistry 2014 Supporting Information Controllable Atmospheric Pressure Growth of Mono-layer, Bi-layer and Tri-layer

More information

Tailored surface modification of substrates by atmospheric plasma for improved compatibility with specific adhesive Nicolas Vandencasteele

Tailored surface modification of substrates by atmospheric plasma for improved compatibility with specific adhesive Nicolas Vandencasteele 1 oating Plasma Innovation Tailored surface modification of substrates by atmospheric plasma for improved compatibility with specific adhesive Nicolas Vandencasteele 2 Plasma Applications Adhesion improvement

More information

Supporting Information

Supporting Information Electronic Supplementary Material (ESI) for Journal of Materials Chemistry C. This journal is The Royal Society of Chemistry 2015 Supporting Information Plasmonics-enhanced metal-organic frameworks nanofilms

More information

Supporting Information

Supporting Information Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 2014 Engineering Cu 2 O/NiO/Cu 2 MoS 4 Hybrid Photocathode for H 2 Generation in Water Chen Yang, a,b

More information

Energy fluxes in plasmas for fabrication of nanostructured materials

Energy fluxes in plasmas for fabrication of nanostructured materials Energy fluxes in plasmas for fabrication of nanostructured materials IEAP, Universität Kiel 2nd Graduate Summer Institute "Complex Plasmas" August 5-13, 2010 in Greifswald (Germany) AG 1 Outline Motivation

More information

Equipment Innovation Team, Memory Fab. Center, Samsung Electronics Co. Ltd. San#16, Banwol, Taean, Hwansung, Kyungki, , Republic of Korea

Equipment Innovation Team, Memory Fab. Center, Samsung Electronics Co. Ltd. San#16, Banwol, Taean, Hwansung, Kyungki, , Republic of Korea Solid State Phenomena Vols. 103-104 (2005) pp 63-66 Online available since 2005/Apr/01 at www.scientific.net (2005) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.103-104.63 Development

More information

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma Running title: The effect of the chamber wall on FC assisted atomic layer etching of

More information

Plasma driven ammonia decomposition on Fe-catalyst: eliminating surface nitrogen poisoning

Plasma driven ammonia decomposition on Fe-catalyst: eliminating surface nitrogen poisoning Supporting Information for Plasma driven ammonia decomposition on Fe-catalyst: eliminating surface nitrogen poisoning Contents: 1. Scheme of the DBD plasma-driven catalysis reactor, Scheme S1. 2. XRF analysis

More information

RESEARCH ON BENZENE VAPOR DETECTION USING POROUS SILICON

RESEARCH ON BENZENE VAPOR DETECTION USING POROUS SILICON Section Micro and Nano Technologies RESEARCH ON BENZENE VAPOR DETECTION USING POROUS SILICON Assoc. Prof. Ersin Kayahan 1,2,3 1 Kocaeli University, Electro-optic and Sys. Eng. Umuttepe, 41380, Kocaeli-Turkey

More information

In-situ Ar Plasma Cleaning of Samples Prior to Surface Analysis

In-situ Ar Plasma Cleaning of Samples Prior to Surface Analysis In-situ Ar Plasma Cleaning of Samples Prior to Surface Analysis GE Global Research Vincent S. Smentkowski, Cameron Moore and Hong Piao 04GRC955, October 04 Public (Class ) Technical Information Series

More information

I. INTRODUCTION. 127 J. Vac. Sci. Technol. B 15(1), Jan/Feb X/97/15(1)/127/6/$ American Vacuum Society 127

I. INTRODUCTION. 127 J. Vac. Sci. Technol. B 15(1), Jan/Feb X/97/15(1)/127/6/$ American Vacuum Society 127 Real-time process sensing and metrology in amorphous and selective area silicon plasma enhanced chemical vapor deposition using in situ mass spectrometry Ashfaqul I. Chowdhury, a) Walter W. Read, a) Gary

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

k T m 8 B P m k T M T

k T m 8 B P m k T M T I. INTRODUCTION AND OBJECTIVE OF THE EXPERIENT The techniques for evaporation of chemicals in a vacuum are widely used for thin film deposition on rigid substrates, leading to multiple applications: production

More information

Characterization of Secondary Emission Materials for Micro-Channel Plates. S. Jokela, I. Veryovkin, A. Zinovev

Characterization of Secondary Emission Materials for Micro-Channel Plates. S. Jokela, I. Veryovkin, A. Zinovev Characterization of Secondary Emission Materials for Micro-Channel Plates S. Jokela, I. Veryovkin, A. Zinovev Secondary Electron Yield Testing Technique We have incorporated XPS, UPS, Ar-ion sputtering,

More information

XPS/UPS and EFM. Brent Gila. XPS/UPS Ryan Davies EFM Andy Gerger

XPS/UPS and EFM. Brent Gila. XPS/UPS Ryan Davies EFM Andy Gerger XPS/UPS and EFM Brent Gila XPS/UPS Ryan Davies EFM Andy Gerger XPS/ESCA X-ray photoelectron spectroscopy (XPS) also called Electron Spectroscopy for Chemical Analysis (ESCA) is a chemical surface analysis

More information

Chapter 3 Engineering Science for Microsystems Design and Fabrication

Chapter 3 Engineering Science for Microsystems Design and Fabrication Lectures on MEMS and MICROSYSTEMS DESIGN and MANUFACTURE Chapter 3 Engineering Science for Microsystems Design and Fabrication In this Chapter, we will present overviews of the principles of physical and

More information

X-ray absorption spectroscopy of indium nitride, indium oxide, and their alloys Jiraroj T-Thienprasert, Suranaree University of Technology

X-ray absorption spectroscopy of indium nitride, indium oxide, and their alloys Jiraroj T-Thienprasert, Suranaree University of Technology TF_O3 TF_O4 TF_O5 TF_O6 TF_O7 TF_O8 TF_O9 TF_O10 TF_O11 X-ray absorption spectroscopy of indium nitride, indium oxide, and their alloys Jiraroj T-Thienprasert, Suranaree University of Technology Structural

More information