University of California, Santa Barbara Santa Barbara, California 93106

Size: px
Start display at page:

Download "University of California, Santa Barbara Santa Barbara, California 93106"

Transcription

1 HIGH-ASPECT-RATIO INDUCTIVELY COUPLED PLASMA ETCHING OF BULK TITANIUM FOR MEMS APPLICATIONS E. R. Parker 1, M. F. Aimi 2, B. J. Thibeault 3, M. P. Rao 1, and N. C. MacDonald 1,2 1 Mechanical and Environmental Engineering Department 2 Materials Department 3 Electrical and Computer Engineering Department University of California, Santa Barbara Santa Barbara, California 9316 Titanium is a promising new material system for the bulk micromachining of MEMS devices. Titanium-based MEMS have the potential to be used for applications involving harsh environments, high fracture toughness requirements, or biocompatibility. The bulk titanium etch rate and TiO 2 mask selectivity in an Inductively Coupled Plasma (ICP) as a function of various process parameters is presented, and optimized conditions are used to develop the Titanium ICP Deep Etch (TIDE) process. The TIDE process is capable of producing high-aspectratio structures with smooth sidewalls at etch rates in excess of 2 µm/min, thus providing a powerful new means for the microfabrication of titaniumbased MEMS devices. INTRODUCTION Traditionally, microelectromechanical systems (MEMS) have relied heavily on materials used in integrated circuit fabrication, such as single crystal silicon. However, MEMS performance may be somewhat limited by the intrinsic properties of these materials. Therefore, additional material systems are being considered as potential candidates for MEMS devices. For example, the relative ductility of metals may reduce the risk of failure associated with brittle silicon (1). Recent developments have allowed for the realization of bulk titanium MEMS for devices that require higher fracture toughness or resistance to harsh environments (2). Titanium may also serve as a potential substrate for in vivo applications as it is widely reported to be biocompatible (3). However, in order for titanium micromachining to become competitive, high etch rates, high aspect ratios, and high mask selectivity are essential. This paper reports on the development of a new high-aspect-ratio titanium micromachining method, the Titanium ICP Deep Etch (TIDE) process, which satisfies these requirements. To date, the majority of research on titanium dry etching has been performed on deposited thin films and implements fluorine- and/or chlorine-based chemistries (4-8). Reported gases suitable for titanium etching include: CCl 4 /O 2 with additions of fluorine containing gases (4); CCl 4 /CCl 2 F 2 with admixtures of O 2 (5); Cl 2 /BCl 3 (6); Cl 2 /N 2 (7); CF 4, CF 4 /O 2, SiCl 4, SiCl 4 /CF 4, and CHF 3 (8); CF 4 /O 2 (9); and SF 6 (1). Although titanium thin films are commonly used in microelectronics, micromechanical structures dry etched into titanium thin films have only recently been demonstrated (11). However, because thin films limit aspect ratio and often contain residual stresses, bulk titanium may be a more suitable option for certain MEMS applications.

2 Dry etching of high-aspect-ratio structures in bulk titanium using a cyclic Cl 2 /Ar process has been demonstrated by the Metal Anisotropic Reactive Ion etching with Oxidation (MARIO) process, but with relatively low etch rates (2). This work describes the characterization of bulk titanium deep etching using Cl 2 /Ar chemistry with an Inductively Coupled Plasma (ICP) source. Etch rate and selectivity to a TiO 2 masking layer have been studied over a large parameter space on patterned titanium samples. The ICP source power, sample RF power, process pressure, and gas flow rates were varied individually and plotted to determine first order trends associated with each parameter. Based on the results of this etch characterization, parameters were optimized to etch highaspect-ratio microstructures into thick titanium substrates using the TIDE process. Bulk titanium etch rates in excess of 2 µm/min with high mask selectivity (4:1, Ti:TiO 2 ) were realized. However, unlike the MARIO process, the TIDE process is non-cyclic and results in exceptionally smooth sidewalls due to the elimination of sidewall scalloping. EXPERIMENTAL Chemically mechanically polished titanium sheets (Tokyo Stainless Grinding Co., Ltd, Tokyo, Japan) approximately 5 µm thick were used for all reported experiments. Average surface roughness measurements were taken using an optical profilometer (Wyko NT 11, Veeco Instruments, Inc., Woodbury, New York) and were found to be between 5 and 7 nm RMS for each sheet. The titanium sheets were sectioned into 2.5 cm x 2.5 cm specimens using a mechanical shearing tool (24 Bench-Top Square Cut Shears, McMaster-Carr, Los Angeles, CA) and then cleaned in acetone and isopropanol with ultrasonic agitation prior to processing. The etch characterization process steps included: 1) TiO 2 mask deposition; 2) photolithographic patterning; 3) mask oxide etching; 4) and titanium deep etching. The oxide etch and titanium deep etch were both performed using the same ICP etch tool (Panasonic E64-ICP dry etching system, Panasonic Factory Solutions, Osaka, Japan), which is shown schematically in Figure 1. Etched samples were mounted onto a 6-inch silicon wafer using diffusion pump fluid (Santovac 5, polyphenyl ether pump fluid, Santovac Fluids, Inc., St. Charles, Missouri) to create adequate thermal conductivity between the carrier wafer and the sample. The lower electrode of the etching tool was held constant at 2 C and helium backside cooling was used to maintain carrier wafer temperature during all characterization runs. The TiO 2 etch mask was deposited using reactive sputtering (Endeavor 3 cluster sputter tool, Sputtered Films, Santa Barbara, California) with a titanium target in an O 2 /Ar environment using the following process conditions: 1 sccm O 2, 2 sccm Ar, and 23 W power. Process pressure was approximately 5.2 mt. Each sample was sputtered for 45 s, resulting in an average film thickness of 1.25 µm. Features were then patterned onto the TiO 2 mask using 3 µm thick photoresist (SPR 22-3., Shipley, Marlborough, Massachusetts). The photoresist pattern was transferred into the oxide using a CHF 3 chemistry under the following conditions: 5 W ICP source power (13.56 MHz), 4 W sample RF power (13.56 MHz), 1 Pa pressure, and 4 sccm CHF 3. Each sample was etched for 1 min, removed from the carrier wafer, and then cleaned in acetone and isopropanol with

3 ultrasonic agitation. The remaining fluorinated photoresist was then removed using an O 2 plasma (PEII-A Plasma System, Technics) under the following conditions: 3 mt pressure, 1 W power. After cleaning, the patterned sample was remounted onto a silicon carrier wafer for the titanium deep etch. Each sample was etched in a Cl 2 /Ar chemistry for 2 min with a specified parameter set and only a single parameter was varied for each etch. Unless otherwise stated, all other parameters were held constant at the following values: 4 W ICP source power, 1 W sample RF power, 2 Pa pressure, 1 sccm Cl 2, and 5 sccm Ar. Etch depths ranged from approximately.5 microns to 4.7 µm over the chosen parameter space. Etch depth and mask thicknesses were measured for each sample using a high resolution scanning electron microscope (FEI XL4 Sirion FEG Digital Scanning Microscope, FEI, Hillsboro, Oregon). Measurements were taken on 1.5 µm wide lines imaged at a 45 tilt angle at five random locations across the sample and averaged. These values were then compared to combined etch depth and mask thickness measurements taken using a contact stylus profilometer (Dektak IIA profilometer, Sloan) to ensure consistency. Data points were plotted to determine first order trends for each etch parameter. These trends were then used to develop the TIDE process. The high-aspectratio etching of bulk titanium followed the same general process flow used by the etch characterization runs. Several different features types were etched, including a submicrometer geometric array, a 1 µm minimum feature size comb drive, and a 1 µm wide beam structure. RESULTS AND DISCUSSION Etch Characterization Plasma-assisted dry etching is a combination of both physical etching through ion bombardment and chemical etching through reactive species at the substrate surface (12). Complete decoupling of these two etching mechanisms is difficult and the relative contributions of each can vary significantly with etch conditions. During etching, surfaces are subjected to an incident flux of ions, radicals, electrons, and neutrals. In general, physical processes are controlled by ion flux and chemical processes are controlled by both ion and radical flux (13). Titanium etching relies more heavily on chemical processes, while TiO 2 etching depends more upon physical etching (8). As a result, titanium etching will most likely be driven by chemical mechanisms and reactive species availability, whereas TiO 2 etching will depend more strongly on ion bombardment. Bulk titanium etch rate and TiO 2 mask selectivity as a function of ICP source power are shown in Figure 2. Etch rate initially increases significantly with source power and then levels off for powers above 4 W. TiO 2 mask selectivity, in contrast, decreases significantly with source power initially and then remains constant for values above 4 W. It is assumed that the etching mechanism associated with the chlorine etching of bulk titanium is chemically similar to that of titanium thin film etching discussed in the literature. Titanium tetrachloride TiCl 4 is the most volatile etch compound with a boiling

4 temperature of C (4,6). However, both TiCl 4 and TiCl 2 (boiling temperature = 1327 C) have been detected as reaction products (6,14). As molecular Cl 2 is introduced into the discharge, a percentage will be ionized or dissociated into atomic Cl. Increased source power will lead to an increase in this ionization and dissociation, resulting in higher ion and radical densities (7). Below 4 W, the etching of bulk titanium is most likely ion and radical limited, resulting in a decrease in overall chemical reaction and etch rate. As the reactive species density is increased with increasing power, the etch rate will also increase. For values higher than 4 W, the ionization and dissociation of chlorine is no longer the limiting factor and the etch rate is most likely controlled by other processes, such as the supply rate of the reactive chlorine species, the reactive species transport rate to the substrate surface, or the chemical reaction rate at the surface. This causes the etch rate to level for values above 4 W if all other parameters are held constant. The TiO 2 selectivity is high for low ICP source power due to low ion concentrations leading to reduced ion bombardment. As the source power is increased, the incident ion flux increases and TiO 2 selectivity decreases. For values greater than 4 W, the selectivity remains low. In general, ICP source power was found to have the largest effect on TiO 2 selectivity, dropping the selectivity from roughly 215:1 (Ti:TiO 2 ) to 8:1. Figure 3 shows the bulk titanium etch rate and TiO 2 selectivity as a function of sample RF power, or substrate bias. The titanium etch rate increases with increasing substrate bias but then remains relatively constant for values above 1 W. The TiO 2 selectivity decreases with increasing substrate bias. Bias will control the ion incident energy on the surface of the substrate (12). Though the etch rate of titanium is more dependent on chemical processes, energetic ions will assist the removal of material from the substrate. Therefore, for values below 1 W, the level of incident ion energy may limit the titanium etch rate. For values above 1 W, the ion energy is no longer the limiting factor and the titanium etch rate is most likely dependent on other factors associated with the plasma conditions. The TiO 2 selectivity, on the other hand, decreases continuously with increasing substrate bias due to a strong dependence on ion bombardment energy. The relative change in selectivity is much less than that associated with ICP source power, decreasing from roughly 16:1 to 6:1. Figure 4 shows the bulk titanium etch rate and TiO 2 mask selectivity as a function of pressure. Etch rate and TiO 2 selectivity both increase significantly with pressure. As pressure is increased, less directional etching associated with an increase in randomized collisions between particles will occur (15). In this regime, chemical effects are dominant (12) and directional ion bombardment will be reduced. This will lead to increased titanium etch rates and decreased TiO 2 etch rates. The TiO 2 selectivity changes from roughly 3:1 for a process pressure of 1 Pa to 45:1 at 4 Pa. Therefore, pressure has the second largest effect on selectivity after ICP source power. However, higher pressure will also result in a more isotropic etch profile (15). Therefore, for the etching of highaspect-ratio features a trade-off between mask selectivity and etch anisotropy must be taken into consideration when determining optimal process pressure. Figure 5 shows the bulk titanium etch rate and TiO 2 mask selectivity as a function of Cl 2 gas flow rate. Etch rate increases significantly between 2 and 4 sccm and then remains somewhat constant, increasing only slightly for higher flow rates. The availability of the reactant species within the plasma is determined by the rate of

5 introduction to the discharge versus the rate of chemical reaction with the substrate (7). The chlorine reactant species will be introduced to the plasma through atomic dissociation and ionization of the incoming gas flow. Higher gas flow rates will result in shorter molecular residence times within the plasma which will, in turn, reduce the percentage of dissociation of the incoming gas (16). The increase in etch rate between 2 and 4 sccm might reflect limitations in reactant species availability as it is lost to chemical reactions at the titanium surface. Above this value, the plasma remains saturated with the reactant species. The etch rate in this regime remains relatively constant and may be limited instead by the reaction rate at the titanium surface or by the rate of molecular dissociation. The TiO 2 mask selectivity also increases with increasing gas flow, though the relative change is small (6:1 at 2 sccm to 16:1 at 1 sccm). Figure 6 shows the bulk titanium etch rate and TiO 2 mask selectivity as a function of Ar gas flow rate. Etch rate increases slightly with the introduction of Ar to the plasma but then remains relatively constant for increasing Ar flow rates. The addition of an inert gas to a discharge is often used to control etchant concentration without varying pressure and/or to stabilize the plasma (7). The addition of Ar to a chlorine plasma has been reported to increase etch rate under constant pressure for various materials (17). Several mechanisms may be responsible for this behavior, including increased Cl 2 dissociation through interactions with metastable Ar atoms or increased surface bombardment by energetically active species (17,18). Although a slight increase in titanium etch rate is seen with the addition of a small amount of Ar, the relative change is not significant. The TiO 2 selectivity also shows some variation with increasing Ar flow rate, first decreasing with increasing Ar flow and then increasing again. These variations are not well understood at this time. Application to MEMS High-aspect-ratio features are a fundamental characteristic of many bulk micromachined MEMS applications. However, the etch characterization presented here used rather short etch rimes (2 min) which resulted in relatively low aspect ratios (maximum 3:1). During high-aspect-ratio etching, additional phenomena will occur that are not observed in this low-aspect-ratio regime. These aspect-ratio-dependent effects include but are not limited to RIE lag, bowing, faceting, and microtrenching (19). Manifestations of many of these phenomena were observed in the deep titanium etches, however further exploration of their dependence upon varying etch conditions is beyond the scope of the current work. For the purpose of this study, a preliminary baseline optimization process for deep etching was performed to demonstrate the high-aspect-ratio capability of the TIDE process. This optimization was performed using a MEMS comb drive actuator mask pattern to establish an initial baseline set of process parameters. As can be seen in Figure 7, narrow cavities within the comb drive structure are etched much slower than the surrounding open features. This can be attributed to RIE lag and is associated with local transport phenomena (2). The baseline parameter set was further optimized to etch the 1 µm wide beam structure pictured in Figure 8. The etch rate and selectivity for these etch conditions are approximately 2.2 µm/min and 4:1 (Ti:TiO 2 ), respectively. The sidewalls

6 produced by the TIDE process are smooth and depend primarily on the quality of the patterned TiO 2 masking layer. Sub-micrometer features were also patterned and etched, as pictured in Figure 9. The narrow trenches etched in this figure show the effects of sidewall bowing, which is most likely due to an electron shading effect associated with non-uniform charging (21). These figures are helpful in not only demonstrating the capabilities of the TIDE process, but also the challenges associated with high-aspect-ratio etching in general. As with any high-aspect-ratio etch process, thorough optimization of the TIDE process will depend strongly on the desired aspect ratios and patterns being used and, therefore, will have to be approached on an application-by-application basis. CONCLUSION The preliminary characterization of bulk titanium dry etching using an ICP source is reported. The ICP source power, sample RF power, process pressure, and gas flow rates were varied in order to determine the first order effects on etch rate and TiO 2 selectivity associated with each parameter. Based on this etch characterization, a baseline set of process parameters for the TIDE process has been developed and initial results for the high-aspect-ratio etching of bulk titanium are presented. Etch rates in excess of 2 µm/min and high mask selectivity (4:1, Ti:TiO 2 ) are shown to be possible under optimized conditions. The TIDE process offers a high etch rate, smooth sidewall alternative to the MARIO process for the etching of bulk titanium. The TIDE process expands titanium bulk micromachining capabilities and provides further potential for the realization of novel titanium-based MEMS devices. ACKNOWLEDGMENTS The authors would like to thank Abu Samah Zuruzi, Motohiko Arakawa at Tokyo Stainless Grinding, Co., Ltd., and Mitsuru Hiroshima at Panasonic Factory Solutions. This research was funded by the Microsystems Technology Office at the Defense Advanced Research Projects Agency.

7 REFERENCES 1. S. M. Spearing, Acta Mater., 48, 179 (2). 2. M. F. Aimi, M. P. Rao, N. C. MacDonald, A. S. Zuruzi, and D. P. Bothman, Nat. Mater., 3, 13 (24). 3. D. M. Brunette, P. Tengvall, M. Textor, and P. Thomsen, Titanium in Medicine: Material Science, Surface Science, Engineering, Biological Responses and Medical Applications, p. 3-1, Springer, Berlin (21). 4. K. Blumenstock and D. Stephani, J. Vac. Sci. Technol. B, 7, 627 (1989). 5. P. Unger, V. Bogli, and H. Beneking, Microelectron. Eng., 5, 279 (1986). 6. R d Agostino, F. Fracassi, and C. Pacifico, J. Appl. Phys., 72, 4351 (1992). 7. N. M. Muthukrishnan, K. Amberiadis, and A. Elshabini-Riad, J. Electrochem. Soc., 144, 178 (1997). 8. Y. Kuo and A. G. Schrott, in ULSI Science and Technology/1995. E. M. Middlesworth and H. Massoud, Editors, PV 95-5, p. 246, The Electrochemical Society Proceedings Series, Pennington, NJ (1995). 9. R d Agostino, F. Fracassi, C. Pacifico, and P. Capezzuto, J. Appl. Phys., 71, 462 (1992). 1. R. R. Reeves, M. Rutten, S. Ramaswami, and P. Roessle, J. Electrochem. Soc., 137, 3517 (199). 11. C O Mahoney, M. Hill, P. J. Hughes, and W. A. Lane, J. Micromech. Microeng., 12, 438 (22). 12. M. J. Madou, Fundamentals of Microfabrication, p , 13, CRC Press LLC, Boca Raton, Florida (22). 13. S. A. Campbell, The Science and Engineering of Microelectronic Fabrication, p , 514, Oxford University Press, New York (21). 14. W. L. O Brien, T. N. Rhodin, and L. C. Rathbun, J. Chem. Phys., 89, 5264 (1988). 15. S D. Senturia, Microsystem Design, p. 69, Kluwer Academic Publishers, Boston (21). 16. D. A. Danner and D. W. Hess, J. Appl. Phys., 59, 94 (1986). 17. A. M. Efremov, D. P. Kim, and C. I. Kim, Thin Solid Films, 435, 232 (23). 18. A. M. Efremov, D. P. Kim, and C. I. Kim, J. Vac. Sci. Technol. A, 21, 1568, (23). 19. I. W. Rangelow and H. Loschner, J. Vac. Sci. Technol. B, 13, 2394 (1995). 2. R. A. Gottscho and C. W. Jurgensen, J. Vac. Sci. Technol. B, 1, 2133 (1992). 21. I. W. Rangelow, J. Vac. Sci. Technol. A, 21, 155 (23).

8 Matching Network RF Generator (13.56 MHz) ICP Source Quartz Plate Wafer Gas Inlet Vacuum Chamber Lower Electrode Matching Network RF Generator (13.56 MHz) Fig. 1. Design schematic of the Panasonic E64-ICP dry etching system. A quartz plate with an ICP source is set on top of an aluminum vacuum chamber facing a 6 in carrier wafer. Two RF power sources (13.56 MHz) are applied to the ICP source and the lower electrode through a matching network. The sample carrier wafer is held to the lower electrode by an electrostatic chuck. Temperature is controlled through a helium cooling system applied to the backside of the wafer Etch Rate (µm/min) Bias = 1 Watts Cl 2 = 1 sccm Ar = 5 sccm Pressure = 2 Pa Etch time = 2 min Selectivity (Ti:TiO2) ICP Source Power (Watts) Fig. 2. Etch rate and mask selectivity versus ICP source power.

9 Etch Rate (µm/min) Sample RF Power (Watts) ICP = 4 Watts Cl 2 = 1 sccm Ar = 5 sccm Pressure = 2 Pa Etch time = 2 min Fig. 3. Etch rate and mask selectivity versus sample RF power Selectivity (Ti:TiO2) Etch Rate (µm/min) ICP = 4 Watts Bias = 1 Watts Cl 2 = 1 sccm Ar = 5 sccm Etch time = 2 min Pressure (Pa) Fig. 4. Etch rate and mask selectivity versus process pressure Selectivity (Ti:TiO2)

10 Etch Rate (µm/min) Cl 2 Gas Flow Rate (sccm) ICP = 4 Watts Bias = 1 Watts Ar = 5 sccm Pressure = 2 Pa Etch time = 2 min Fig. 5. Etch rate and mask selectivity versus Cl 2 gas flow rate Selectivity (Ti:TiO2) Etch Rate (µm/min) ICP = 4 Watts Bias = 1 Watts Cl 2 = 1 sccm Pressure = 2 Pa Etch time = 2 min Ar Gas Flow Rate (sccm) Fig. 6. Etch rate and mask selectivity versus Ar gas flow rate Selectivity (Ti:TiO2)

11 Fig. 7. Scanning electron micrograph of a titanium-based MEMS comb drive structure. The mask pattern was generated using stepper lithography, transferred to a sputtered TiO 2 mask via a CHF 3 oxide etch, and then the sample was deep etched for 1 minutes using the baseline TIDE process (4 W ICP source power, 1 W sample RF power, 2 Pa pressure, 1 sccm Cl 2, and 5 sccm Ar). Etch depth in the open areas of the pattern is slightly in excess of 2 µm. The reduction of etch rate within the narrow vias can be seen through the thin sidewalls of the backbone structures and is indicative of RIE lag. Fig. 8. Scanning electron micrograph of a 1 µm wide beam structure. This sample was etched for 1 minutes using the baseline TIDE process with increased sample RF power (15 W vs. 1 W) and pressure (2.5 Pa vs. 2 Pa). Mild tapering is observed, as is slight bowing.

12 Fig. 9. Scanning electron micrograph illustrating sub-micrometer minimum feature size capability. Etched numerals indicate feature size in micrometers. This sample was etched for 7 minutes using the baseline TIDE process.

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

Wet and Dry Etching. Theory

Wet and Dry Etching. Theory Wet and Dry Etching Theory 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer

More information

Etching Capabilities at Harvard CNS. March 2008

Etching Capabilities at Harvard CNS. March 2008 Etching Capabilities at Harvard CNS March 2008 CNS: A shared use facility for the Harvard Community and New England CNS Provides technical support, equipment and staff. Explicitly multi-disciplinary w/

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 12: Mechanics

More information

CHAPTER 6: Etching. Chapter 6 1

CHAPTER 6: Etching. Chapter 6 1 Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

Device Fabrication: Etch

Device Fabrication: Etch Device Fabrication: Etch 1 Objectives Upon finishing this course, you should able to: Familiar with etch terminology Compare wet and dry etch processes processing and list the main dry etch etchants Become

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher Appl. Sci. Converg. Technol. 26(6): 189-194 (2017) http://dx.doi.org/10.5757/asct.2017.26.6.189 Research Paper Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in

More information

Plasma etching. Bibliography

Plasma etching. Bibliography Plasma etching Bibliography 1. B. Chapman, Glow discharge processes, (Wiley, New York, 1980). - Classical plasma processing of etching and sputtering 2. D. M. Manos and D. L. Flamm, Plasma etching; An

More information

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma Journal of The Electrochemical Society, 147 (5) 1859-1863 (2000) 1859 Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma The Effects of Gas Additives Ji-Myon Lee, Ki-Myung Chang,

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 24 Tai-Chang Chen University of Washington EDP ETCHING OF SILICON - 1 Ethylene Diamine Pyrocatechol Anisotropy: (100):(111) ~ 35:1 EDP is very corrosive, very carcinogenic,

More information

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Dry Etching Zheng Yang ERF 3017,   MW 5:15-6:00 pm Dry Etching Zheng Yang ERF 3017, email: yangzhen@uic.edu, MW 5:15-6:00 pm Page 1 Page 2 Dry Etching Why dry etching? - WE is limited to pattern sizes above 3mm - WE is isotropic causing underetching -

More information

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Chunshi Cui, John Trow, Ken Collins, Betty Tang, Luke Zhang, Steve Shannon, and Yan Ye Applied Materials, Inc. October 26, 2000 10/28/2008

More information

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76 Lecture 15 Etching Chapters 15 & 16 Wolf and Tauber 1/76 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes 25% of course

More information

Regents of the University of California

Regents of the University of California Deep Reactive-Ion Etching (DRIE) DRIE Issues: Etch Rate Variance The Bosch process: Inductively-coupled plasma Etch Rate: 1.5-4 μm/min Two main cycles in the etch: Etch cycle (5-15 s): SF 6 (SF x+ ) etches

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 11: Bulk

More information

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas Integrated Ferroelectrics, 90: 95 106, 2007 Copyright Taylor & Francis Group, LLC ISSN 1058-4587 print / 1607-8489 online DOI: 10.1080/10584580701249371 Inductively Coupled Plasma Reactive Ion Etching

More information

Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges

Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges Korean J. Chem. Eng., 21(6), 1235-1239 (2004) Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges Hyung Jo Park*, Hyun-Wook Ra, Kwang Sup Song** and Yoon-Bong

More information

Chapter 7. Plasma Basics

Chapter 7. Plasma Basics Chapter 7 Plasma Basics 2006/4/12 1 Objectives List at least three IC processes using plasma Name three important collisions in plasma Describe mean free path Explain how plasma enhance etch and CVD processes

More information

Chapter 7 Plasma Basic

Chapter 7 Plasma Basic Chapter 7 Plasma Basic Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three IC processes

More information

ELEC 7364 Lecture Notes Summer Etching. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA

ELEC 7364 Lecture Notes Summer Etching. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA ELEC 7364 Lecture Notes Summer 2008 Etching by STELLA W. PANG from The University of Michigan, Ann Arbor, MI, USA Visiting Professor at The University of Hong Kong The University of Michigan on Visiting

More information

The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas*

The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas* PHYSICS OF PLASMAS VOLUME 6, NUMBER 5 MAY 1999 The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas* M. L. Brake, J. Pender, a) and

More information

Profile simulation of gas chopping based etching processes

Profile simulation of gas chopping based etching processes Profile simulation of gas chopping based etching processes B.E. Volland, Tz. Ivanov and I.W.Rangelow Institute of Technological Physics, University of Kassel, Heinrich-Plett-Straße 40, 34132 Kassel, Germany

More information

Table of Content. Mechanical Removing Techniques. Ultrasonic Machining (USM) Sputtering and Focused Ion Beam Milling (FIB)

Table of Content. Mechanical Removing Techniques. Ultrasonic Machining (USM) Sputtering and Focused Ion Beam Milling (FIB) Table of Content Mechanical Removing Techniques Ultrasonic Machining (USM) Sputtering and Focused Ion Beam Milling (FIB) Ultrasonic Machining In ultrasonic machining (USM), also called ultrasonic grinding,

More information

Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation

Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation Microelectronic Engineering 73 74 (2004) 312 318 www.elsevier.com/locate/mee Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation E. Gogolides *, C. Boukouras, G. Kokkoris,

More information

Tool- and pattern-dependent spatial variations in silicon deep reactive ion etching

Tool- and pattern-dependent spatial variations in silicon deep reactive ion etching Tool- and pattern-dependent spatial variations in silicon deep reactive ion etching Hayden Taylor Microsystems Technology Laboratories Massachusetts Institute of Technology 12 May 2006 Coping with spatial

More information

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois,

More information

Proceedings Silicon Sacrificial Layer Technology for the Production of 3D MEMS (EPyC Process)

Proceedings Silicon Sacrificial Layer Technology for the Production of 3D MEMS (EPyC Process) Proceedings Silicon Sacrificial Layer Technology for the Production of 3D MEMS (EPyC Process) Latifa Louriki 1, *, Peter Staffeld 1, Arnd Kaelberer 1 and Thomas Otto 2 1 Robert Bosch GmbH, Reutlingen D-72762,

More information

EFFECT OF OXYGEN ADDITION ON SIDEWALLS OF SILICON SQUARE MICRO-PIT ARRAYS USING SF 6 BASED REACTIVE ION ETCHING

EFFECT OF OXYGEN ADDITION ON SIDEWALLS OF SILICON SQUARE MICRO-PIT ARRAYS USING SF 6 BASED REACTIVE ION ETCHING EFFECT OF OXYGEN ADDITION ON SIDEWALLS OF SILICON SQUARE MICRO-PIT ARRAYS USING SF 6 BASED REACTIVE ION ETCHING Maryam Alsadat Rad* and Kamarulazizi Ibrahim Nano Optoelectronics Research & Technology Lab,

More information

Technology for Micro- and Nanostructures Micro- and Nanotechnology

Technology for Micro- and Nanostructures Micro- and Nanotechnology Lecture 10: Deposition Technology for Micro- and Nanostructures Micro- and Nanotechnology Peter Unger mailto: peter.unger @ uni-ulm.de Institute of Optoelectronics University of Ulm http://www.uni-ulm.de/opto

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf by S. Wolf Chapter 15 ALUMINUM THIN-FILMS and SPUTTER-DEPOSITION 2004 by LATTICE PRESS CHAPTER 15 - CONTENTS Aluminum Thin-Films Sputter-Deposition Process Steps Physics of Sputter-Deposition Magnetron-Sputtering

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas 1 Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas Presentation November 14, 2005 Jane P. Chang and John Hoang Department of Chemical and Biomolecular Engineering

More information

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013 The Stanford Nanofabrication Facility Etch Area Overview May 21, 2013 High Density Plasma Systems Etcher Materials Etched Gases available Wafer Size Applied Materials P5000 MRIE ChA Applied Materials P5000

More information

Lithography and Etching

Lithography and Etching Lithography and Etching Victor Ovchinnikov Chapters 8.1, 8.4, 9, 11 Previous lecture Microdevices Main processes: Thin film deposition Patterning (lithography) Doping Materials: Single crystal (monocrystal)

More information

Citation Bram Lips, Robert Puers, (2016), Three step deep reactive ion etch for high density trench etching Journal of Physics: Conference Series, 757, 012005. Archived version Author manuscript: the content

More information

Numerical Simulation of Bosch Processing for Deep Silicon Plasma Etching

Numerical Simulation of Bosch Processing for Deep Silicon Plasma Etching Journal of Physics: Conference Series OPEN ACCESS Numerical Simulation of Bosch Processing for Deep Silicon Plasma Etching To cite this article: P Moroz and D J Moroz 2014 J. Phys.: Conf. Ser. 550 012030

More information

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4 1998 DRY PROCESS SYMPOSIUM VI - 3 Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4 Naoki Mitsugi, Kaori Shima, Masumi Ishizuka and Hirotoshi Nagata New Technology Research Laboratories,

More information

Microchannel Systems in Titanium and Silicon for Structural and Mechanical Studies of Aligned Protein Self-Assemblies

Microchannel Systems in Titanium and Silicon for Structural and Mechanical Studies of Aligned Protein Self-Assemblies 3910 Langmuir 2005, 21, 3910-3914 Microchannel Systems in Titanium and Silicon for Structural and Mechanical Studies of Aligned Protein Self-Assemblies L. S. Hirst, E. R. Parker, Z. Abu-Samah, Y. Li, R.

More information

Nanostructures Fabrication Methods

Nanostructures Fabrication Methods Nanostructures Fabrication Methods bottom-up methods ( atom by atom ) In the bottom-up approach, atoms, molecules and even nanoparticles themselves can be used as the building blocks for the creation of

More information

Clean-Room microfabrication techniques. Francesco Rizzi Italian Institute of Technology

Clean-Room microfabrication techniques. Francesco Rizzi Italian Institute of Technology Clean-Room microfabrication techniques Francesco Rizzi Italian Institute of Technology Miniaturization The first transistor Miniaturization The first transistor Miniaturization The first transistor Miniaturization

More information

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey Section 5: Thin Film Deposition part 1 : sputtering and evaporation Jaeger Chapter 6 Vacuum Basics 1. Units 1 atmosphere = 760 torr = 1.013x10 5 Pa 1 bar = 10 5 Pa = 750 torr 1 torr = 1 mm Hg 1 mtorr =

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

EECS C245 ME C218 Midterm Exam

EECS C245 ME C218 Midterm Exam University of California at Berkeley College of Engineering EECS C245 ME C218 Midterm Eam Fall 2003 Prof. Roger T. Howe October 15, 2003 Dr. Thara Srinivasan Guidelines Your name: SOLUTIONS Circle your

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 EE143 Fall 2016 Microfabrication Technologies Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1 Vacuum Basics Units 1 atmosphere

More information

Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I.

Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I. Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I. INTRODUCTION As device density increases according to Moore s law,

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION In the format provided by the authors and unedited. DOI: 10.1038/NPHOTON.2016.254 Measurement of non-monotonic Casimir forces between silicon nanostructures Supplementary information L. Tang 1, M. Wang

More information

Comparative Studies of Perfluorocarbon Alternative Gas Plasmas for Contact Hole Etch

Comparative Studies of Perfluorocarbon Alternative Gas Plasmas for Contact Hole Etch Jpn. J. Appl. Phys. Vol. 42 (23) pp. 5759 5764 Part 1, No. 9A, September 23 #23 The Japan Society of Applied Physics Comparative Studies of Perfluorocarbon Alternative Gas Plasmas for Contact Hole Etch

More information

Chapter 9, Etch. Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm

Chapter 9, Etch. Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm Chapter 9, Etch Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives Upon finishing this course, you should

More information

Time-of-Flight Flow Microsensor using Free-Standing Microfilaments

Time-of-Flight Flow Microsensor using Free-Standing Microfilaments 07-Rodrigues-V4 N2-AF 19.08.09 19:41 Page 84 Time-of-Flight Flow Microsensor using Free-Standing Microfilaments Roberto Jacobe Rodrigues 1,2, and Rogério Furlan 3 1 Center of Engineering and Social Sciences,

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS 1 MDL NTHU

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS 1 MDL NTHU Outline 1 Introduction 2 Basic IC fabrication processes 3 Fabrication techniques for MEMS 4 Applications 5 Mechanics issues on MEMS 1 MDL 2. Basic IC fabrication processes 2.1 Deposition and growth 2.2

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

Continuous and Cyclic Deep Reactive Ion etching of Borosilicate Glass by Using SF 6 and SF 6 /Ar Inductively Coupled Plasmas

Continuous and Cyclic Deep Reactive Ion etching of Borosilicate Glass by Using SF 6 and SF 6 /Ar Inductively Coupled Plasmas Journal of the Korean Physical Society, Vol. 47, November 2005, pp. S422 S428 Continuous and Cyclic Deep Reactive Ion etching of Borosilicate Glass by Using SF 6 and SF 6 /Ar Inductively Coupled Plasmas

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

Characterization of low pressure plasma-dc glow discharges (Ar, SF 6 and SF 6 /He) for Si etching

Characterization of low pressure plasma-dc glow discharges (Ar, SF 6 and SF 6 /He) for Si etching Indian Journal of Pure & Applied Physics Vol. 48, October 2010, pp. 723-730 Characterization of low pressure plasma-dc glow discharges (Ar, SF 6 and SF 6 /He) for Si etching Bahaa T Chiad a, Thair L Al-zubaydi

More information

Modeling of MEMS Fabrication Processes

Modeling of MEMS Fabrication Processes Modeling of MEMS Fabrication Processes Prof. Duane Boning Microsystems Technology Laboratories Electrical Engineering and Computer Science Massachusetts Institute of Technology September 28, 2007 Spatial

More information

Foundations of MEMS. Chang Liu. McCormick School of Engineering and Applied Science Northwestern University. International Edition Contributions by

Foundations of MEMS. Chang Liu. McCormick School of Engineering and Applied Science Northwestern University. International Edition Contributions by Foundations of MEMS Second Edition Chang Liu McCormick School of Engineering and Applied Science Northwestern University International Edition Contributions by Vaishali B. Mungurwadi B. V. Bhoomaraddi

More information

Supplementary Information. Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction

Supplementary Information. Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction Supplementary Information Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction Neil P. Dasgupta 1 ǂ, Chong Liu 1,2 ǂ, Sean Andrews 1,2, Fritz B. Prinz

More information

Plasma Processing of Large Curved Surfaces for SRF Cavity Modification

Plasma Processing of Large Curved Surfaces for SRF Cavity Modification Plasma Processing of Large Curved Surfaces for SRF Cavity Modification J. Upadhyay, 1 Do Im, 1 S. Popović, 1 A.-M. Valente-Feliciano, 2 L. Phillips, 2 and L. Vušković 1 1 Department of Physics - Center

More information

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD Supplementary figure 1 Graphene Growth and Transfer Graphene PMMA FeCl 3 DI water Copper foil CVD growth Back side etch PMMA coating Copper etch in 0.25M FeCl 3 DI water rinse 1 st transfer DI water 1:10

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 4: Film

More information

SUBSTITUTING particle-sensitive check-valves in micropumps

SUBSTITUTING particle-sensitive check-valves in micropumps JOURNAL OF MICROELECTROMECHANICAL SYSTEMS, VOL. 6, NO. 1, MARCH 1997 41 Fabrication and Characterization of Truly 3-D Diffuser/Nozzle Microstructures in Silicon M. Heschel, M. Müllenborn, and S. Bouwstra

More information

6.5 Optical-Coating-Deposition Technologies

6.5 Optical-Coating-Deposition Technologies 92 Chapter 6 6.5 Optical-Coating-Deposition Technologies The coating process takes place in an evaporation chamber with a fully controlled system for the specified requirements. Typical systems are depicted

More information

Dielectric Meta-Reflectarray for Broadband Linear Polarization Conversion and Optical Vortex Generation

Dielectric Meta-Reflectarray for Broadband Linear Polarization Conversion and Optical Vortex Generation Supporting Information Dielectric Meta-Reflectarray for Broadband Linear Polarization Conversion and Optical Vortex Generation Yuanmu Yang, Wenyi Wang, Parikshit Moitra, Ivan I. Kravchenko, Dayrl P. Briggs,

More information

SILICON DIOXIDE TO POLYSILICON SELECTIVITY OF A C2F6/CHF3 DRY ETCH PROCESS

SILICON DIOXIDE TO POLYSILICON SELECTIVITY OF A C2F6/CHF3 DRY ETCH PROCESS SLCON DOXDE TO POLYSLCON SELECTVTY OF A C2F6/CHF3 DRY ETCH PROCESS Craig L. Kuhi 5th Year Microelectronic Engineering Student Rochester nstitute of Technology ABSTRACT The etch rates and selectivity of

More information

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma THE HARRIS SCIENCE REVIEW OF DOSHISHA UNIVERSITY, VOL. 56, No. 1 April 2015 Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

More information

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas COMSOL CONFERENCE BOSTON 2011 Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas Keisoku Engineering System Co., Ltd., JAPAN Dr. Lizhu Tong October 14, 2011 1 Contents 1.

More information

Tolerance analysis for comb-drive actuator using DRIE fabrication

Tolerance analysis for comb-drive actuator using DRIE fabrication Sensors and Actuators A 125 2006) 494 503 Tolerance analysis for comb-drive actuator using DRIE fabrication J. Li a,1, A.Q. Liu a,, Q.X. Zhang b a School of Electrical & Electronic Engineering, Nanyang

More information

EE-612: Lecture 22: CMOS Process Steps

EE-612: Lecture 22: CMOS Process Steps EE-612: Lecture 22: CMOS Process Steps Mark Lundstrom Electrical and Computer Engineering Purdue University West Lafayette, IN USA Fall 2006 NCN www.nanohub.org Lundstrom EE-612 F06 1 outline 1) Unit Process

More information

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide ARTICLE IN PRESS Journal of Physics and Chemistry of Solids 69 (2008) 555 560 www.elsevier.com/locate/jpcs Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide Jun Wu a,, Ying-Lang

More information

experiment (DOE) is performed to characterize the effect of ICP power, RIE power,

experiment (DOE) is performed to characterize the effect of ICP power, RIE power, Characterization of a 10 μm/min chlorine-based ICP etch process for GaAs vias R.J. Westerman, D.J. Johnson, Y.S. Lee Unaxis USA, Inc., St. Petersburg, Florida 33716 Abstract The development of a 10μm/min

More information

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices 1 UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices Katsuya Watanabe

More information

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society Mater. Res. Soc. Symp. Proc. Vol. 1258 2010 Materials Research Society 1258-Q14-02 Realization of silicon nanopillar arrays with controllable sidewall profiles by holography lithography and a novel single-step

More information

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL Prof. Mark J. Kushner Department of Electrical and Computer Engineering 1406 W. Green St. Urbana, IL 61801 217-144-5137 mjk@uiuc.edu http://uigelz.ece.uiuc.edu

More information

RESEARCH ON BENZENE VAPOR DETECTION USING POROUS SILICON

RESEARCH ON BENZENE VAPOR DETECTION USING POROUS SILICON Section Micro and Nano Technologies RESEARCH ON BENZENE VAPOR DETECTION USING POROUS SILICON Assoc. Prof. Ersin Kayahan 1,2,3 1 Kocaeli University, Electro-optic and Sys. Eng. Umuttepe, 41380, Kocaeli-Turkey

More information

Thin Wafer Handling Challenges and Emerging Solutions

Thin Wafer Handling Challenges and Emerging Solutions 1 Thin Wafer Handling Challenges and Emerging Solutions Dr. Shari Farrens, Mr. Pete Bisson, Mr. Sumant Sood and Mr. James Hermanowski SUSS MicroTec, 228 Suss Drive, Waterbury Center, VT 05655, USA 2 Thin

More information

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas M. Schaepkens, R. C. M. Bosch, a) T. E. F. M. Standaert, and G. S. Oehrlein b) Department of Physics,

More information

Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline

Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline Supplementary Information Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline Tapan Barman, Amreen A. Hussain, Bikash Sharma, Arup R. Pal* Plasma Nanotech Lab, Physical Sciences Division,

More information

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma Kallol Bera a, Shahid Rauf a and Ken Collins a a Applied Materials, Inc. 974 E. Arques Ave., M/S 81517, Sunnyvale, CA 9485, USA

More information

Control of deposition profile of Cu for largescale integration (LSI) interconnects by plasma chemical vapor deposition*

Control of deposition profile of Cu for largescale integration (LSI) interconnects by plasma chemical vapor deposition* Pure Appl. Chem., Vol. 77, No. 2, pp. 391 398, 2005. DOI: 10.1351/pac200577020391 2005 IUPAC Control of deposition profile of Cu for largescale integration (LSI) interconnects by plasma chemical vapor

More information

Effect of Low-Frequency Power on Etching Characteristics of 6H-SiC in C 4 F 8 /Ar Dual-Frequency Capacitively Coupled Plasma

Effect of Low-Frequency Power on Etching Characteristics of 6H-SiC in C 4 F 8 /Ar Dual-Frequency Capacitively Coupled Plasma Plasma Science and Technology, Vol.15, No.10, Oct. 2013 Effect of Low-Frequency Power on Etching Characteristics of 6H-SiC in C 4 F 8 /Ar Dual-Frequency Capacitively Coupled Plasma XU Yijun ( ) 1,2, WU

More information

Advances in Back-side Via Etching of SiC for GaN Device Applications

Advances in Back-side Via Etching of SiC for GaN Device Applications Advances in Back-side Via Etching of SiC for GaN Device Applications Anthony Barker, Kevin Riddell, Huma Ashraf & Dave Thomas SPTS Technologies, Ringland Way, Newport NP18 2TA, UK, dave.thomas@spts.com,

More information

K. Takechi a) and M. A. Lieberman Department of Electrical Engineering and Computer Sciences, University of California, Berkeley, California 94720

K. Takechi a) and M. A. Lieberman Department of Electrical Engineering and Computer Sciences, University of California, Berkeley, California 94720 JOURNAL OF APPLIED PHYSICS VOLUME 90, NUMBER 7 1 OCTOBER 2001 Effect of Ar addition to an O 2 plasma in an inductively coupled, traveling wave driven, large area plasma source: O 2 ÕAr mixture plasma modeling

More information

Introduction to Plasma Etching

Introduction to Plasma Etching Lam Research Corp. 1 Introduction to Plasma Etching Dr. Steve Sirard Technical Director Lam Research Corporation Lam Research Corp. 2 Day 1 Review Plasma Fundamentals + e - e - + * e - + * + e - Collisional

More information

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Abstract UV radiation during plasma processing affects the surface of materials. Nevertheless, the interaction of UV photons with surface

More information

Repetition: Practical Aspects

Repetition: Practical Aspects Repetition: Practical Aspects Reduction of the Cathode Dark Space! E x 0 Geometric limit of the extension of a sputter plant. Lowest distance between target and substrate V Cathode (Target/Source) - +

More information

Fast Bonding of Substrates for the Formation of Microfluidic Channels at Room Temperature

Fast Bonding of Substrates for the Formation of Microfluidic Channels at Room Temperature Supplementary Material (ESI) for Lab on a Chip This journal is The Royal Society of Chemistry 2005 Supporting Information Fast Bonding of Substrates for the Formation of Microfluidic Channels at Room Temperature

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information