Comparative Studies of Perfluorocarbon Alternative Gas Plasmas for Contact Hole Etch

Size: px
Start display at page:

Download "Comparative Studies of Perfluorocarbon Alternative Gas Plasmas for Contact Hole Etch"

Transcription

1 Jpn. J. Appl. Phys. Vol. 42 (23) pp Part 1, No. 9A, September 23 #23 The Japan Society of Applied Physics Comparative Studies of Perfluorocarbon Alternative Gas Plasmas for Contact Hole Etch Shingo NAKAMURA, Mitsushi ITANO, Hirokazu AOYAMA, KentaroSHIBAHARA 1, Shin YOKOYAMA 1 and Masataka HIROSE 2 Chemical Division, DAIKIN Industries, Ltd., 1-1 Nishi Hitotsuya, Settsu, Osaka , Japan 1 Research Center for Nanodevices and Systems, Hiroshima University, 1-4-2, Kagamiyama, Higashi-hiroshima, Hiroshima , Japan 2 Advanced Semiconductor Research Center, National Institute of Advanced Industrial Science and Technology, Central 4, Higashi, Tsukuba, Ibaraki , Japan (Received December 27, 22; accepted for publication May 14, 23) Saturated perfluorocarbons (PFCs) such as CF 4,C 2 F 6,C 3 and c- are used as dry-etch gases in the semiconductor industry. They have a significant greenhouse effect. Unsaturated fluorocarbons can be alternated with these PFCs because of their easy decomposition in the atmosphere. The authors have diagnosed the plasmas generated from straight-chain unsaturated gases such as,, and in an inductively coupled plasma reactor and have compared their etch properties. It was found that high selectivity has been obtained in a or plasma without mixing any specific gases. Fine contact holes of approximately 1 nm in diameter also have been obtained using or with or without adding Ar or O 2. These good etch properties of and have been achieved as a consequence of the appropriate balance between the lower density of fluorocarbon polymers and the dominant etching species CF þ with lower etching efficiency. It can be concluded that and can be used as PFC replacements for the dry-etch gas. [DOI: /JJAP ] KEYWORDS: greenhouse effect, PFC alternative gas, straight-chain unsaturated fluorocarbon, inductively coupled plasma (ICP), etching, contact hole etch 1. Introduction Saturated perfluorocarbons (PFCs) such as CF 4, C 2 F 6, C 3 and c- are used as dry-etch gases in the fabrication of ultralarge-scale integrated circuits (ULSIs) in the semiconductor industry. These gases have long atmosphere lifetimes and strong absorptions of infrared radiation, exhibiting high global warming potentials (GWPs) and so promoting the green house effect. Recently, the replacement, decomposition or recycling of PFCs used for dry-etch gases has been attempted to reduce the greenhouse effect. 1) PFC such as octafluorocyclopropane (c- ) are still widely used to etch interlayer dielectrics and fabricate fine contact holes in ULSIs, while it will be difficult to achieve a contact hole etch with a high aspect ratio in the sub-65 nm range. 2) Table I summarizes the GWP 1 and atmospheric lifetime of PFC alternative candidates together with c-. The GWP 1 is defined as a relative GWP value calculated for the period of one hundred years against CO 2. 3,4) It should be noted that and have much lower GWP 1 values and atmospheric lifetimes than c-. These alternative candidates with a double bond in their molecules are subject Table I. Molecular structure, global warming potential (GWP 1 ) and atmospheric lifetime for octafluorocyclopropane(c- ), hexafluoropropene ( ), hexafluorobutadiene ( ), octafluoro-2-butene ( ) and octafluoropentadiene ( ). Molecular Structure aþ GWP 1 Atmospheric lifetime (years) c- F 2 C- j j 1 32 F 2 C- CF=CF CF= 1 =CFCF= 29.3 CF=CFCF= a) GWP 1 (global warming potential for one hundred years) to decomposition in the atmosphere through their reaction with hydroxyl radicals. This fragmentation mechanism leads to the much shorter atmospheric lifetime and much lower effective GWP 1 for the replacement candidates. 5) In addition, these alternative candidates have the potential of good etch properties because the double bond is broken selectively and the ratio of particular radicals and ions is predominantly controlled. Thus it is important to investigate the PFC alternative etch gases such as hexafluoropropene (, CF= ), hexafluorobutadiene (, = CFCF= ), octafluoro-2-butene (, CF=CF ) and octafluoropentadiene (, CF=CFCF= ). These are straight-chain unsaturated compounds with the double bond in the molecules. In the present study, we have diagnosed these gas plasmas and examined the etching ability to evaluate its potential as an alternative etching gas. 2. Experimental The schematic diagram of an inductively coupled plasma (ICP) reactor used in the present study is shown in Fig. 1. Etching gases were introduced from the outlets set at eight points on an inner wall. A single-turn antenna of 14 mm in diameter generates plasmas through a 9-mm-thick quart plate. A 2 inch wafer was clamped using an electrostatic chuck (ESC) assembly on a chiller-cooled stage kept at 11 C. A quadrupole mass spectrometer and a Langmuir probe were set on a chamber sidewall to diagnose the plasmas. The Langmuir probe used to measure electron densities and electron temperatures was set 2 mm above the center of the wafer. The probe tip was heated to prevent fluorocarbon polymer deposition. The relative amount of positive ions was evaluated by quadrupole mass spectrometry, and the density of CF x (x ¼ 1{3) fluorocarbon radicals was measured by appearance mass spectrometry (AMS). 6) The morphology of fluorocarbon polymers deposited on the wafer was measured by atomic force microscopy (AFM). Chemical bonding features were also analyzed by Fourier transform infrared (FT-IR) spectroscopy. A 2-mm-thick 5759

2 576 Jpn. J. Appl. Phys. Vol. 42 (23) Pt. 1, No. 9A S. NAKAMURA et al. ngle Turn Antenna Matching Box 13.56MHz ~ Electron Temperature (ev) Quartz Plate QMS Electrostatic Chuck Inner Wall 1mm 1mm Matching Box 4kHz 2mm layer deposited by atmospheric pressure chemical vapor deposition (APCVD) was used as the substrate. The positive chemical amplification electron-beam resist used mainly consisted of highly sensitive novolak resin, which was manufactured for the trial by Hitachi Chemical Co, Ltd.. The electron beam resist with a thickness of 95 nm was patterned to form contact holes with 18 nm 4.5 mm diameters. The layer was etched with,,,c- and plasmas under almost the same etching conditions. Typical conditions were as follows: a pressure of 3 mtorr, a gas flow rate of 5 7 sccm, an ICP power of 6 W and a bias power of 2 W. 3. Results and Discussion 3.1 Plasma diagnostics The electron density and temperature of the c-,,, and plasmas are compared in Fig. 2. The electron temperatures of and are slightly higher than those of, and c- while the electron densities of and are almost the same as those of and c-. In addition, the electron density of is the highest in these plasmas. The amount of positive ion components in each plasma is shown in Fig. 3. A CF þ ion with low etching ability is the main ionic species and a CF þ 2 is a minor species in every plasma. It is suggested for and that a lot of CF þ 3 ions with high etching ability are efficiently produced from the CF fragment generated by the break of the double bond. This is consistent with the fact that the fraction of the CF þ 3 ion in the C 5 plasma is higher than in the plasma. A large amount of F þ ions in the and plasmas are attributed to high electron temperature, as shown in Fig. 2. Fluorocarbon plasma with high electron temperature in general tends to contain highdensity F þ ions and F radicals. nce the CF þ 2 /CFþ ratio is about.2 and is nearly equal for these plasmas, the CF þ 3 / CF þ ratio can be utilized as an index of etching efficiency. ~ Cb 13mm 2mm 2 Inch Wafer Langmuir Probe Fig. 1. Schematic diagram of an inductively coupled plasma(icp) reactor. Frequencies of source power and bias power are MHz and 4 khz, respectively. The bottom of the inner wall (2 mm in diameter) is located at a distance of 13 mm from the quartz plate. The gap from the single-turn antenna of the ICP to the electrostatic chuck stage is 1 mm. Heating was not used in this study while the cylindrical inner wall with the heating function is set in an etching chamber. c- c Electron 5.1 Temperature Electron Density Electron Density ( 1 11 cm -3 ) Fig. 2. Electron temperature and electron density at 3 mtorr and 6 W source power. F C CF F C CF F C CF F C CF F C CF CF3 CF3 F F CF CF c- - CF CF2- C 3 F6 ( CF= ) CF CF ( CF=CF ) (CF2=CFCF= ) ( CF=CFCF= ) Ion Count (%) Fig. 3. Relative amount of positive ions at 3 mtorr and 6 W source power. These ions were detected by quadrupole mass spectrometry. The CF þ 3 /CFþ ratio in each plasma is in the following order: (.81) > (.68) > c- (.33) > (.3) > (.1). Figure 4 shows the total CF x (x ¼ 1{3) radical densities of these plasmas. The total densities of CF x (x ¼ 1{3) radicals are highest in the c- plasma and lowest in the plasma. The density of the radical is the highest of all these plasmas and that of the CF radical is the lowest. Figure 5 shows the average roughness Ra measured by AFM and the deposition rate r depo of the fluorocarbon polymer films deposited on a substrate with each plasma without RF bias power. The observations in Fig. 5 show that the larger molecule of parent gas results in a higher deposition rate and a rougher surface of the polymer, except for. This implies that the polymeric radicals are

3 Jpn. J. Appl. Phys. Vol. 42 (23) Pt. 1, No. 9A S. NAKAMURA et al CF c- - I I - CF= CF=CF =CFCF= CF=CFCF= Total Radical Density ( 1 12 cm -3 ) Normalized Absorbance (arb.units) v( ) cm -1 c- 1 1 Wave Number (cm -1 ) v(- -) cm -1 v(c-f) 14-1cm -1 Fig. 4. CF x (x ¼ 1{3) radical densities at 3 mtorr and 6 W source power. These radical densities were measured by appearance mass spectrometry (AMS). Fig. 6. Fourier transform infrared (FT-IR) spectroscopy of fluorocarbon polymer films. These films were deposited at 3 mtorr, 6 W source power and electrostatic chuck temperature of 11 C. The absorbance normalized by a film thickness indicates the density of the fluorocarbon polymer films. v( ), v(c F) and v( ) show the vibration absorption range c- 2 4 F 6 Ra(nm) r depo (nm/min) c these candidates (,,, ) and the conventional etching gas (c- ) are summarized in Fig. 7. Figure 8 shows the dissociation and etching model of these fluorocarbon gases in the plasma. The total CF x (x ¼ 1{3) radical density of the c- plasma is the highest, however the c- plasma deposits a lower-density fluorocarbonpolymer film than the and plasmas do. The fluorocarbon polymer surface of the c- plasma was rougher than those for the and plasma as shown in Fig. 5. These facts indicate that polymeric radicals such as ac x F y (x = 3, y = 5) deposit the rough and porous films of fluorocarbon polymers in the c- plasma while a large number of radicals rearranged from a CF fragment in the and plasmas deposit high-density fluorocarbon polymers in a similar manner to the radical, as illustrated in Fig. 8. The plasma had the Fig. 5. The surface roughness and deposition rate of fluorocarbon polymer films. The fluorocarbon polymer films were deposited at 3 mtorr, 6 W source power and electrostatic chuck temperature of 11 C. Ra is average roughness measured by AFM and r depo is the deposition rate of the fluorocarbon polymer films. the origins of surface roughness. Figure 6 shows the FT-IR spectra of the fluorocarbon polymer films deposited for 1.5 min on substrates in each plasma without RF bias power. Absorbance is normalized by the fluorocarbonpolymer film thickness measured by scanning electron microscopy (SEM). The absorbances for the, and c- plasmas are higher than those for the and plasmas, which indicates that, and c- plasmas deposit higher-density fluorocarbon-polymer films. This fact is consistent with the results in Fig. 5. A rough surface generally indicates a porous structure. It is also noteworthy that both and with the CF fragment deposit high-density fluorocarbon polymers. The results obtained by a series of plasma diagnostics of Density of Fluorocarbon Polymer (arb.units) ( CF=CF ) ( CF= ) c- ( =CFCF= ) :Total CFx(x=1-3) Radical Density ( CF=CFCF= ) Surface Roughness Ra (nm) Fig. 7. A summary of fluorocarbon polymer properties and radical densities. X-axis indicates a surface roughness Ra shown in Fig. 5. Y axis indicates the fluorocarbon polymer densities estimated by the normalized FT-IR spectrum shown in Fig. 6. Diameters for each circle correspond to the total CF x (x ¼ 1 3) radical densities shown in Fig. 4.

4 5762 Jpn. J. Appl. Phys. Vol. 42 (23) Pt. 1, No. 9A S. NAKAMURA et al. Selectivity c- =CFCF= CF CF= 2 I I CF=CFCF= CF: CF=CFCF:. CF2 CF. C x F y (x 3,y 5) 2 C x F y (x 2,y ) CF x (x=1-3) :CFCF= plasma plasma CF CF 3 CF 2 CF CF CF CO C CF x,f x, CF3 CO x,f x, x F y CF COF x COF x C x F y - C x F y - C x F y - C x F y O z reactive layer C x F y O z reactive layer c- c- c Selectivity( /) 6.11 Selectivity( /) 678 Etch Rtae Etch Rate (nm/min) Fig. 9. etch rate and selectivity at 3 mtorr, 6 W source power and 2 W bias power. Main Etching Species : CF, Etching Efficiency : High Fluorocarbon polymer : High Density and Fine Surface Main Etching Species : CF Etching Efficiency : Low Fluorocarbon polymer : Low Density and Rough Surface Fig. 8. The image of dissociation and etching in the unsaturated fluorocarbon gas plasmas. CF þ 3 ions with high etching ability impinge on the high-density film of fluorocarbon polymers in the and plasmas. On the other hand, CF þ ions with low etching ability bombard the porous and low-density films of fluorocarbon polymer in the and plasmas. Etch reaction products such as CO 2, COF 2 and F 4 are released from the x O y F z reactive layer. lowest CF x (x ¼ 1{3) radical densities, and its fluorocarbonpolymer density was the lowest. The deposition rate of fluorocarbon polymers for the plasma is the highest, and the surface is the roughest. Hence, it is probable that more polymeric radical species other than CF x (x ¼ 1{3) radicals are the main precursor for these two plasmas. We speculate that the polymeric radicals are the large fragment ones which has another double bond stabilized by the break of one of the double bonds as illustrated in Fig. 8. Etching efficiency was also explained as illustrated in Fig. 8 based on the plasma diagnostics. The relative etching efficiency defined as the CF þ 3 /CFþ ratio is in the order > > c- > >, as described before. The plasma has the highest etching efficiency because of its high ion density due to a high electron density. In the and plasmas, CF þ 3 ions impinge on the high-density fluorocarbon-polymer film. On the other hand, in the and plasmas, CF þ ions with low etching ability bombard the porous and low-density films of fluorocarbon polymers. 3.2 Etch properties Figure 9 shows the etch rate and selectivity for the etch plasmas. The etch rates for the, and c- plasmas are slightly higher than those for the and plasmas. The selectivity against resist or is smaller in the, and c- plasmas than in the and Normalized Etch Rate c Contact Hole ze (µm) Fig. 1. Microloading effect at 3 mtorr, 6 W source power and 2 W bias power. plasmas. Figure 1 shows the microloading effect of contact holes in these plasmas. The etch rates of the contact holes are normalized by that of a 4.5-mm-diameter hole. In the case of plasma, the normalized etch rate for a 18 nm hole is about.8 and the microloading effect is not so severe. The normalized etch rate for the holes ranging from nm to 2.5 mm is larger than 1. except for the plasma. This is a reverse tendency of the ordinary microloading effect. As shown in Fig. 11, the etched holes have tapered shape except for in the case of the plasma. The tapered shape gives rise to an ion-focusing effect at the bottom. This ion-focusing effect and high-density fluorocarbon polymers are the origins of the reverse-microloading effect in the plasmas except. As shown in Fig. 11, in the case of the c- and plasmas, the widening at the top and narrowing at the bottom of the contact holes are significant. This is explained by the widening of the resist in the shape of a facet because of the low etch selectivity for resist of. The nearly vertical etching profile is obtained with the plasma. In this case, the etch rate is 57 nm/min and the selectivity against resist and are 2.1 and 6.1, respectively. The diameter and depth of the hole,

5 Jpn. J. Appl. Phys. Vol. 42 (23) Pt. 1, No. 9A S. NAKAMURA et al c- 4mTorr, ICP4W, Bias15W / O 2 (1 %), ICP4W, Bias2W (a) φ max.49µm, D1.4µm (a) φ d.18µm ( φ max.12µm, D.95µm) (b) φ d.2µm ( φ max.1µm, D.95µm) F 6 / Ar(25 %), ICP4W, Bias2W C 5 / Ar(5%) 7mTorr, ICP6W, Bias2W (b) φ max.55µm, D1.8µm (c) φ max.43µm, D1.4µm F 6 C 5 (d) φ max.2µm, D1.32µm (c) φ d.2µm ( φ max.13µm, D 1.1) (d) φ d.17µm ( φ max.13µm, D1.6µm) (f) φ max.22µm, D1. Fig. 12. Etch profiles of the fine contact holes formed with, / O 2 (1%), /Ar (25%) and /Ar (5%) plasmas. d, max and D represent designed diameter, etched maximum diameter and etched depth of the contact holes, respectively. Fig. 11. Etch profiles of.2 mm contact holes at 3 mtorr, 6 W source power and 2 W bias power. max and D represent the maximum diameter and depth of etched contact holes, respectively. shown in Fig. 11(d) for, are 2 nm and 1.32 mm, respectively. The fluorocarbon polymer film deposited in the plasma has a rough surface and the lowest density as described previously. Hence, it seems that etch reaction products are released easily and the etch rate is consequently in an acceptable range. The good etch profile is due to the appropriate balance between the high-density CF þ ions with a low etching efficiency and the low-density fluorocarbon polymers. In the plasma, the etch profile exhibits a slight side etching since the ions reflected at the tapered resist are more than in the plasma. The microloading effect is also higher than in the plasma because the CF x (x ¼ 1{3) radical densities and the film density of fluorocarbon polymers in the plasma are higher than in the plasma. In the plasma, the etch profile is not shrunk at the bottom of the contact holes. This can be attributed to the higher electron density and the lower ion-focusing effect of the plasma than those of the c- and plasmas. 3.3 Fine contact hole The Ar gas dilutes the fluorocarbon gas and the O 2 gas decomposes the polymeric radical to depress excess deposition. These gases generally prevent etch stop. The contact holes of about 1 nm in diameter, which are smaller than the mask resist patterns, are obtained in the, or a mixture plasma with Ar or O 2. The hole-size reduction was realized by the local deposition of fluorocarbon polymer around the resist opening by increasing the pressure of the etching atmosphere from 3 mtorr to 4 7 mtorr. Figure 12 shows the SEM crosssection of the fine contact holes etched with, /O 2 (1%), /Ar (25%) and /Ar (5%) plasmas. Figure 13 shows the positive ion content in the, /O 2 (1%) and /Ar (25%) plasmas. The CF þ is the dominant etching species and the others are less significant in these plasmas. Figure 14 shows CF x (x ¼ 1{3) radical densities in the /O 2 (1%) and /Ar (25%) plasmas. Certain radicals do not contribute to etching the fine contact hole in both plasmas. It is possible that both the polymeric radicals except CF x (x ¼ 1{3) and the CF þ ion play an important role in etching the fine holes in the, /O 2 (1%) and /Ar (25%) plasmas. /Ar (25%) ICP4W,Bias2W /O2 (1%) ICP4W,Bias2W 4mTorr ICP4W,Bias15W Ar CF Ar CF CF CF C F O C C % 2% 4% 6% 8% 1% Ion Count (%) Fig. 13. The positive ion content in the, /O 2 (1%) and / Ar (25%) plasmas. F

6 5764 Jpn. J. Appl. Phys. Vol. 42 (23) Pt. 1, No. 9A S. NAKAMURA et al. /O 2 (1%) ICP4W Bias2W /Ar (25%) ICP4W Bias2W CF 1 CF 1 result of the plasma diagnostics and the etch properties have shown that the and gas can be used as the PFC alternatives, which can realize acceptable etch profiles for contact holes. A fine hole of approximately.1 mm in diameter can be formed in the, and the mixture gas plasma with Ar or O 2. These results are obtained as a consequence of the good balance between the low-density film of fluorocarbon polymers and the many CF þ ions with low etching efficiency. The straight-chain can also be a promising dry-etch gas if etch conditions such as bias voltage are optimized Radical Density (cm -3 ) Fig. 14. CF x (x ¼ 1{3) radical densities in the /O 2 (1%) and / Ar (25%) plasmas. 4. Conclusion The straight-chain unsaturated fluorocarbon compounds were compared with the conventional c- gas to evaluate them as PFC alternative candidates for dry-etch gases. The 1) Y. Matsushita: Oyo Buturi 69 (2) 35 [in Japanese]. 2) T. Mukai and S. Samukawa: Proc. Symp. Dry Process, Tokyo, 1999, p ) Intergovernmental Panel on Climate Change: Climate Change 21: The Scientific Basis, eds. J. T. Houghton, Y. Ding, D. J. Griggs, M. Noguer, P. J. van der Linden, X. Dai, K. Maskell and C. A. Johnson (Cambridge University Press, 21) Chap. 6, p ) SEMI 1997 PFC Forum (1997) p. 1. 5) R. Imasu, A. Suga and T. Matsuno: J. Meteo. Soc. Jpn. 73 (1995) ) Y. Hikosaka, H. Toyoda and H. Sugai: Jpn. J. Appl. Phys. 32 (1993) L353.

Gas utilization in remote plasma cleaning and stripping applications

Gas utilization in remote plasma cleaning and stripping applications Gas utilization in remote plasma cleaning and stripping applications B. E. E. Kastenmeier IBM Semiconductor Research and Development Center, 2070 Rt. 52, Zip E40, Hopewell Junction, New York 12533 G. S.

More information

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Chunshi Cui, John Trow, Ken Collins, Betty Tang, Luke Zhang, Steve Shannon, and Yan Ye Applied Materials, Inc. October 26, 2000 10/28/2008

More information

Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice

Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice Xi Li a),b) and Gottlieb S. Oehrlein a),c) Materials Science and Engineering and Institute

More information

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices 1 UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices Katsuya Watanabe

More information

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Abstract UV radiation during plasma processing affects the surface of materials. Nevertheless, the interaction of UV photons with surface

More information

Chapter 6. Summary and Conclusions

Chapter 6. Summary and Conclusions Chapter 6 Summary and Conclusions Plasma deposited amorphous hydrogenated carbon films (a-c:h) still attract a lot of interest due to their extraordinary properties. Depending on the deposition conditions

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

Chapter 7. Plasma Basics

Chapter 7. Plasma Basics Chapter 7 Plasma Basics 2006/4/12 1 Objectives List at least three IC processes using plasma Name three important collisions in plasma Describe mean free path Explain how plasma enhance etch and CVD processes

More information

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide ARTICLE IN PRESS Journal of Physics and Chemistry of Solids 69 (2008) 555 560 www.elsevier.com/locate/jpcs Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide Jun Wu a,, Ying-Lang

More information

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas M. Schaepkens, R. C. M. Bosch, a) T. E. F. M. Standaert, and G. S. Oehrlein b) Department of Physics,

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS

PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS O. Goossens, D. Vangeneugden, S. Paulussen and E. Dekempeneer VITO Flemish Institute for Technological Research, Boeretang

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma Running title: The effect of the chamber wall on FC assisted atomic layer etching of

More information

Influence of RF ICP PECVD process parameters of diamond-like carbon films on DC bias and optical emission spectra

Influence of RF ICP PECVD process parameters of diamond-like carbon films on DC bias and optical emission spectra Optica Applicata, Vol. XLIII, No. 1, 213 DOI: 1.5277/oa13114 Influence of RF ICP PECVD process parameters of diamond-like carbon films on DC bias and optical emission spectra WALDEMAR OLESZKIEWICZ 1*,

More information

Evaluating the Performance of c-c 4 F 8, c-c 5 F 8, and C 4 F 6 for Critical Dimension Dielectric Etching

Evaluating the Performance of c-c 4 F 8, c-c 5 F 8, and C 4 F 6 for Critical Dimension Dielectric Etching Evaluating the Performance of c- 4 8, c- 5 8, and 4 6 for ritical Dimension Dielectric Etching B. Ji, P. R. Badowski, S. A, Motika, and E. J. Karwacki, Jr. Introduction: One of the many challenges I manufacturing

More information

Chapter 7 Plasma Basic

Chapter 7 Plasma Basic Chapter 7 Plasma Basic Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three IC processes

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

FINE PATTERN ETCHING OF SILICON USING SR-ASSISTED IONIZATION OF CF4 GAS

FINE PATTERN ETCHING OF SILICON USING SR-ASSISTED IONIZATION OF CF4 GAS Technical Paper Journal of Photopolymer Science and Technology Volume 6, Number 4(1993) 617-624 1993TAPJ FINE PATTERN ETCHING OF SILICON USING SR-ASSISTED IONIZATION OF CF4 GAS YASUO NARA, YosHIHto SUGITA,

More information

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma THE HARRIS SCIENCE REVIEW OF DOSHISHA UNIVERSITY, VOL. 56, No. 1 April 2015 Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

More information

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Plasma Eray Aydil, UCSB, Mike Lieberman, UCB and David Graves UCB Workshop November 19, 2003 Berkeley, CA 3 Feature Profile Evolution Simulation Eray S. Aydil University

More information

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas COMSOL CONFERENCE BOSTON 2011 Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas Keisoku Engineering System Co., Ltd., JAPAN Dr. Lizhu Tong October 14, 2011 1 Contents 1.

More information

Anomalous production of gaseous 4 He at the inside of DScathode during D 2 O-electrolysis

Anomalous production of gaseous 4 He at the inside of DScathode during D 2 O-electrolysis Arata, Y. and Y.C. Zhang, Anomalous production of gaseous 4 He at the inside of 'DS cathode' during D 2 O- electrolysis. Proc. Jpn. Acad., Ser. B, 1999. 75: p. 281. Anomalous production of gaseous 4 He

More information

Development of Deposition and Etching Technologies for Piezoelectric Elements for Ferroelectric MEMS

Development of Deposition and Etching Technologies for Piezoelectric Elements for Ferroelectric MEMS Development of Deposition and Etching Technologies for Piezoelectric Elements for Ferroelectric MEMS Yutaka Kokaze*, Isao Kimura*, Takehito Jimbo*, Mitsuhiro Endo*, Masahisa Ueda* and Koukou Suu* Recently,

More information

Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma

Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma Journal of the Korean Physical Society, Vol. 38, No. 3, March 001, pp. 59 63 Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma Jong-Sik Kim and Gon-Ho Kim

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

Formation and micromachining of Teflon fluorocarbon polymer film by a completely dry process using synchrotron radiation

Formation and micromachining of Teflon fluorocarbon polymer film by a completely dry process using synchrotron radiation Formation and micromachining of Teflon fluorocarbon polymer film by a completely dry process using synchrotron radiation Muneto Inayoshi, Masafumi Ito, Masaru Hori, and Toshio Goto Department of Quantum

More information

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois,

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma Journal of The Electrochemical Society, 147 (5) 1859-1863 (2000) 1859 Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma The Effects of Gas Additives Ji-Myon Lee, Ki-Myung Chang,

More information

Plasma Processing of Large Curved Surfaces for SRF Cavity Modification

Plasma Processing of Large Curved Surfaces for SRF Cavity Modification Plasma Processing of Large Curved Surfaces for SRF Cavity Modification J. Upadhyay, 1 Do Im, 1 S. Popović, 1 A.-M. Valente-Feliciano, 2 L. Phillips, 2 and L. Vušković 1 1 Department of Physics - Center

More information

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas Integrated Ferroelectrics, 90: 95 106, 2007 Copyright Taylor & Francis Group, LLC ISSN 1058-4587 print / 1607-8489 online DOI: 10.1080/10584580701249371 Inductively Coupled Plasma Reactive Ion Etching

More information

Control of deposition profile of Cu for largescale integration (LSI) interconnects by plasma chemical vapor deposition*

Control of deposition profile of Cu for largescale integration (LSI) interconnects by plasma chemical vapor deposition* Pure Appl. Chem., Vol. 77, No. 2, pp. 391 398, 2005. DOI: 10.1351/pac200577020391 2005 IUPAC Control of deposition profile of Cu for largescale integration (LSI) interconnects by plasma chemical vapor

More information

Ceramic Processing Research

Ceramic Processing Research Journal of Ceramic Processing Research. Vol. 11, No. 5, pp. 581~585 (2010) J O U R N A L O F Ceramic Processing Research The changing behavior of the dielectric constant of a-sic:h films deposited by remote

More information

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC Xuefeng Hua, a) Department of Physics, University of Maryland, College Park, Maryland

More information

DOE WEB SEMINAR,

DOE WEB SEMINAR, DOE WEB SEMINAR, 2013.03.29 Electron energy distribution function of the plasma in the presence of both capacitive field and inductive field : from electron heating to plasma processing control 1 mm PR

More information

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4 1998 DRY PROCESS SYMPOSIUM VI - 3 Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4 Naoki Mitsugi, Kaori Shima, Masumi Ishizuka and Hirotoshi Nagata New Technology Research Laboratories,

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* Kapil Rajaraman and Mark J. Kushner 1406 W. Green St. Urbana, IL 61801 rajaramn@uiuc.edu mjk@uiuc.edu http://uigelz.ece.uiuc.edu November

More information

Multilayer Wiring Technology with Grinding Planarization of Dielectric Layer and Via Posts

Multilayer Wiring Technology with Grinding Planarization of Dielectric Layer and Via Posts Tani et al.: Multilayer Wiring Technology with Grinding Planarization (1/6) [Technical Paper] Multilayer Wiring Technology with Grinding Planarization of Dielectric Layer and Via Posts Motoaki Tani, Kanae

More information

Initial Stages of Growth of Organic Semiconductors on Graphene

Initial Stages of Growth of Organic Semiconductors on Graphene Initial Stages of Growth of Organic Semiconductors on Graphene Presented by: Manisha Chhikara Supervisor: Prof. Dr. Gvido Bratina University of Nova Gorica Outline Introduction to Graphene Fabrication

More information

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Geun-Young Yeom SungKyunKwan University Problems of Current Etch Technology Scaling

More information

- A spark is passed through the Argon in the presence of the RF field of the coil to initiate the plasma

- A spark is passed through the Argon in the presence of the RF field of the coil to initiate the plasma THE PLASMA Inductively Coupled Plasma Mass Spectrometry (ICP-MS) What is a Plasma? - The magnetic field created by a RF (radio frequency) coil produces a current within a stream of Argon (Ar) gas, which

More information

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Dry Etching Zheng Yang ERF 3017,   MW 5:15-6:00 pm Dry Etching Zheng Yang ERF 3017, email: yangzhen@uic.edu, MW 5:15-6:00 pm Page 1 Page 2 Dry Etching Why dry etching? - WE is limited to pattern sizes above 3mm - WE is isotropic causing underetching -

More information

Study of Electronegativity in Inductively Coupled Radio-Frequency Plasma with Langmuir Probe

Study of Electronegativity in Inductively Coupled Radio-Frequency Plasma with Langmuir Probe Study of Electronegativity in Inductively Coupled Radio-Frequency Plasma with Langmuir Probe International Training Program Queen s University Belfast Dept. Energy Sciences Tokyo Institute of Technology

More information

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher Appl. Sci. Converg. Technol. 26(6): 189-194 (2017) http://dx.doi.org/10.5757/asct.2017.26.6.189 Research Paper Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in

More information

doi: /

doi: / doi: 10.1063/1.350497 Morphology of hydrofluoric acid and ammonium fluoride-treated silicon surfaces studied by surface infrared spectroscopy M. Niwano, Y. Takeda, Y. Ishibashi, K. Kurita, and N. Miyamoto

More information

Dynamic Strain of Ultrasonic Cu and Au Ball Bonding Measured In-Situ by Using Silicon Piezoresistive Sensor

Dynamic Strain of Ultrasonic Cu and Au Ball Bonding Measured In-Situ by Using Silicon Piezoresistive Sensor 2017 IEEE 67th Electronic Components and Technology Conference Dynamic Strain of Ultrasonic Cu and Au Ball Bonding Measured In-Situ by Using Silicon Piezoresistive Sensor Keiichiro Iwanabe, Kenichi Nakadozono,

More information

Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline

Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline Supplementary Information Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline Tapan Barman, Amreen A. Hussain, Bikash Sharma, Arup R. Pal* Plasma Nanotech Lab, Physical Sciences Division,

More information

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea Index 1. Introduction 2. Some plasma sources 3. Related issues 4. Summary -2 Why is

More information

Secondary Ion Mass Spectrometry (SIMS)

Secondary Ion Mass Spectrometry (SIMS) CHEM53200: Lecture 10 Secondary Ion Mass Spectrometry (SIMS) Major reference: Surface Analysis Edited by J. C. Vickerman (1997). 1 Primary particles may be: Secondary particles can be e s, neutral species

More information

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis Dr. E. A. Leone BACKGRUND ne trend in the electronic packaging industry

More information

ELEMENT2 High Resolution- ICP-MS INSTRUMENT OVERVIEW

ELEMENT2 High Resolution- ICP-MS INSTRUMENT OVERVIEW ELEMENT2 High Resolution- ICP-MS INSTRUMENT OVERVIEW Inductively Coupled Plasma Mass Spectrometry (ICP-MS) What is a Plasma? - The magnetic field created by a RF (radio frequency) coil produces

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

Effects of Ar and O 2 additives on SiO 2 etching in C 4 F 8 -based plasmas

Effects of Ar and O 2 additives on SiO 2 etching in C 4 F 8 -based plasmas Effects of Ar and O 2 additives on SiO 2 etching in C 4 F 8 -based plasmas Xi Li, a) Li Ling, Xuefeng Hua, Masanaga Fukasawa, b) and Gottlieb S. Oehrlein c) Department of Materials Science and Engineering

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods

Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods Methods for catalyst preparation Methods discussed in this lecture Physical vapour deposition - PLD

More information

Introduction. Photoresist : Type: Structure:

Introduction. Photoresist : Type: Structure: Photoresist SEM images of the morphologies of meso structures and nanopatterns on (a) a positively nanopatterned silicon mold, and (b) a negatively nanopatterned silicon mold. Introduction Photoresist

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

Wet and Dry Etching. Theory

Wet and Dry Etching. Theory Wet and Dry Etching Theory 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer

More information

Superconducting Single-photon Detectors

Superconducting Single-photon Detectors : Quantum Cryptography Superconducting Single-photon Detectors Hiroyuki Shibata Abstract This article describes the fabrication and properties of a single-photon detector made of a superconducting NbN

More information

Applications of Micro-Area Analysis Used by JPS-9200 X-ray Photoelectron Spectrometer

Applications of Micro-Area Analysis Used by JPS-9200 X-ray Photoelectron Spectrometer Applications of Micro-Area Analysis Used by JPS-9200 X-ray Photoelectron Spectrometer Yoshitoki Iijima Application & Research Center, JEOL Ltd. Introduction Recently, with advances in the development of

More information

Advances in Back-side Via Etching of SiC for GaN Device Applications

Advances in Back-side Via Etching of SiC for GaN Device Applications Advances in Back-side Via Etching of SiC for GaN Device Applications Anthony Barker, Kevin Riddell, Huma Ashraf & Dave Thomas SPTS Technologies, Ringland Way, Newport NP18 2TA, UK, dave.thomas@spts.com,

More information

S. Ichikawa*, R. Kuze, T. Shimizu and H. Shimaoka INTRODUCTION

S. Ichikawa*, R. Kuze, T. Shimizu and H. Shimaoka INTRODUCTION Journal of Surface Analysis,Vol.12 No.2 (2005); S.Ichikawa, et al., Coverage Estimation of Silane. Coverage Estimation of Silane Functionalized Perfluoropolyether Layer by using Time of Flight Secondary

More information

K. Takechi a) and M. A. Lieberman Department of Electrical Engineering and Computer Sciences, University of California, Berkeley, California 94720

K. Takechi a) and M. A. Lieberman Department of Electrical Engineering and Computer Sciences, University of California, Berkeley, California 94720 JOURNAL OF APPLIED PHYSICS VOLUME 90, NUMBER 7 1 OCTOBER 2001 Effect of Ar addition to an O 2 plasma in an inductively coupled, traveling wave driven, large area plasma source: O 2 ÕAr mixture plasma modeling

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

Improvement of MgO Characteristics Using RF-Plasma Treatment in AC Plasma Display Panel

Improvement of MgO Characteristics Using RF-Plasma Treatment in AC Plasma Display Panel Mol. Cryst. Liq. Cryst., Vol. 531: pp. 73=[373] 81=[381], 2010 Copyright # Taylor & Francis Group, LLC ISSN: 1542-1406 print=1563-5287 online DOI: 10.1080/15421406.2010.499331 Improvement of MgO Characteristics

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

Repetition: Practical Aspects

Repetition: Practical Aspects Repetition: Practical Aspects Reduction of the Cathode Dark Space! E x 0 Geometric limit of the extension of a sputter plant. Lowest distance between target and substrate V Cathode (Target/Source) - +

More information

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL Prof. Mark J. Kushner Department of Electrical and Computer Engineering 1406 W. Green St. Urbana, IL 61801 217-144-5137 mjk@uiuc.edu http://uigelz.ece.uiuc.edu

More information

CHAPTER 6: Etching. Chapter 6 1

CHAPTER 6: Etching. Chapter 6 1 Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching

More information

Air Products and Chemicals, Inc., 7201 Hamilton Blvd., Allentown, PA 18195

Air Products and Chemicals, Inc., 7201 Hamilton Blvd., Allentown, PA 18195 ptimized Materials Properties for rganosilicate Glasses Produced by Plasma-Enhanced Chemical Vapor Deposition M.L. Neill, R.N. Vrtis, J.L. Vincent, A.S. Lukas, E.J. Karwacki, B.K. Peterson, and M.D. Bitner

More information

Effects of cross field diffusion in a low pressure high density oxygen/silane plasma

Effects of cross field diffusion in a low pressure high density oxygen/silane plasma Effects of cross field diffusion in a low pressure high density oxygen/silane plasma C. Charles Citation: Journal of Vacuum Science & Technology A 20, 1275 (2002); doi: 10.1116/1.1481042 View online: http://dx.doi.org/10.1116/1.1481042

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

Etching Capabilities at Harvard CNS. March 2008

Etching Capabilities at Harvard CNS. March 2008 Etching Capabilities at Harvard CNS March 2008 CNS: A shared use facility for the Harvard Community and New England CNS Provides technical support, equipment and staff. Explicitly multi-disciplinary w/

More information

Plasma abatement of perfluorocompounds in inductively coupled plasma reactors

Plasma abatement of perfluorocompounds in inductively coupled plasma reactors Plasma abatement of perfluorocompounds in inductively coupled plasma reactors Xudong Peter Xu, a) Shahid Rauf, b) and Mark J. Kushner c) University of Illinois, Department of Electrical and Computer Engineering,

More information

Fundamentals of Mass Spectrometry. Fundamentals of Mass Spectrometry. Learning Objective. Proteomics

Fundamentals of Mass Spectrometry. Fundamentals of Mass Spectrometry. Learning Objective. Proteomics Mass spectrometry (MS) is the technique for protein identification and analysis by production of charged molecular species in vacuum, and their separation by magnetic and electric fields based on mass

More information

RECOMMENDATIONS FOR NOMENCLATURE OF MASS SPECTROMETRY

RECOMMENDATIONS FOR NOMENCLATURE OF MASS SPECTROMETRY international UNION OF PURE AND APPLIED CHEMISTRY ANALYTICAL CHEMISTRY DIVISION COMMISSION ON ANALYTICAL NOMENCLATURE RECOMMENDATIONS FOR NOMENCLATURE OF MASS SPECTROMETRY RULES APPROVED 1973 LONDON BUTTER

More information

Development of Technologies for Recovery and Removal of Fluorinated Compounds Causing Global Warming Abstract of the Report

Development of Technologies for Recovery and Removal of Fluorinated Compounds Causing Global Warming Abstract of the Report Global Environment Research Coordination System Development of Technologies for Recovery and Removal of Fluorinated Compounds Causing Global WarmingAbstract of the Report Contact person Shigeru Futamura

More information

Replication Of MHI Transmutation Experiment By D 2 Gas Permeation Through Pd Complex

Replication Of MHI Transmutation Experiment By D 2 Gas Permeation Through Pd Complex Higashiyama, T., et al. Replication Of MHI Transmutation Experiment By D2 Gas Permeation Through Pd Complex. in Tenth International Conference on Cold Fusion. 2003. Cambridge, MA: LENR-CANR.org. This paper

More information

MODELING OF AN ECR SOURCE FOR MATERIALS PROCESSING USING A TWO DIMENSIONAL HYBRID PLASMA EQUIPMENT MODEL. Ron L. Kinder and Mark J.

MODELING OF AN ECR SOURCE FOR MATERIALS PROCESSING USING A TWO DIMENSIONAL HYBRID PLASMA EQUIPMENT MODEL. Ron L. Kinder and Mark J. TECHCON 98 Las Vegas, Nevada September 9-11, 1998 MODELING OF AN ECR SOURCE FOR MATERIALS PROCESSING USING A TWO DIMENSIONAL HYBRID PLASMA EQUIPMENT MODEL Ron L. Kinder and Mark J. Kushner Department of

More information

Measurement and Analysis of Water Adsorption in Porous Silica Films

Measurement and Analysis of Water Adsorption in Porous Silica Films Journal of The Electrochemical Society, 153 8 G759-G764 2006 0013-4651/2006/153 8 /G759/6/$20.00 The Electrochemical Society Measurement and Analysis of Water Adsorption in Porous Silica Films Shin-Ichiro

More information

Plasma etching. Bibliography

Plasma etching. Bibliography Plasma etching Bibliography 1. B. Chapman, Glow discharge processes, (Wiley, New York, 1980). - Classical plasma processing of etching and sputtering 2. D. M. Manos and D. L. Flamm, Plasma etching; An

More information

Explosion Properties of Highly Concentrated Ozone Gas. 1 Iwatani International Corporation, Katsube, Moriyama, Shiga , Japan

Explosion Properties of Highly Concentrated Ozone Gas. 1 Iwatani International Corporation, Katsube, Moriyama, Shiga , Japan Explosion Properties of Highly Concentrated Ozone Gas Kunihiko Koike 1*, Masaharu Nifuku 2, Koichi Izumi 1, Sadaki Nakamura 1, Shuzo Fujiwara 2 and Sadashige Horiguchi 2 1 Iwatani International Corporation,

More information

Resonator Fabrication for Cavity Enhanced, Tunable Si/Ge Quantum Cascade Detectors

Resonator Fabrication for Cavity Enhanced, Tunable Si/Ge Quantum Cascade Detectors Resonator Fabrication for Cavity Enhanced, Tunable Si/Ge Quantum Cascade Detectors M. Grydlik 1, P. Rauter 1, T. Fromherz 1, G. Bauer 1, L. Diehl 2, C. Falub 2, G. Dehlinger 2, H. Sigg 2, D. Grützmacher

More information

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF)

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Journal of the Korean Physical Society, Vol. 33, No. 5, November 1998, pp. 579 583 Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Baikil Choi and Hyeongtag Jeon School

More information

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes Fabrication of the scanning thermal microscopy (SThM) probes is summarized in Supplementary Fig. 1 and proceeds

More information

Report on Visit to Ruhr University Bochum by International Training Program From October 1st to November 29th 2010

Report on Visit to Ruhr University Bochum by International Training Program From October 1st to November 29th 2010 Report on Visit to Ruhr University Bochum by International Training Program From October 1st to November 29th 2010 Graduate school of Engineering, Hori-Sekine Lab. Doctor course student Yusuke Abe Ruhr

More information

Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I.

Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I. Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I. INTRODUCTION As device density increases according to Moore s law,

More information

Secondary Ion Mass Spectroscopy (SIMS)

Secondary Ion Mass Spectroscopy (SIMS) Secondary Ion Mass Spectroscopy (SIMS) Analyzing Inorganic Solids * = under special conditions ** = semiconductors only + = limited number of elements or groups Analyzing Organic Solids * = under special

More information

FRAUNHOFER IISB STRUCTURE SIMULATION

FRAUNHOFER IISB STRUCTURE SIMULATION FRAUNHOFER IISB STRUCTURE SIMULATION Eberhard Bär eberhard.baer@iisb.fraunhofer.de Page 1 FRAUNHOFER IISB STRUCTURE SIMULATION Overview SiO 2 etching in a C 2 F 6 plasma Ga ion beam sputter etching Ionized

More information

Supplementary Information for. Effect of Interface on Surface Morphology and Proton Conduction of Polymer Electrolyte Thin Films

Supplementary Information for. Effect of Interface on Surface Morphology and Proton Conduction of Polymer Electrolyte Thin Films Supplementary Information for Effect of Interface on Surface Morphology and Proton Conduction of Polymer Electrolyte Thin Films Akihiro Ohira* a,b, Seiichi. Kuroda* b, Hamdy F. M. Mohamed b,c, and Bruno

More information

Imaging Methods: Scanning Force Microscopy (SFM / AFM)

Imaging Methods: Scanning Force Microscopy (SFM / AFM) Imaging Methods: Scanning Force Microscopy (SFM / AFM) The atomic force microscope (AFM) probes the surface of a sample with a sharp tip, a couple of microns long and often less than 100 Å in diameter.

More information

In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation

In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation JOURNAL OF APPLIED PHYSICS VOLUME 88, NUMBER 4 15 AUGUST 2000 In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation C. Cismaru a) and J. L.

More information

TRITIUM PRODUCTION IN PALLADIUM DEUTERIDE/HYDRIDE IN EVACUATED CHAMBER

TRITIUM PRODUCTION IN PALLADIUM DEUTERIDE/HYDRIDE IN EVACUATED CHAMBER Yamada, H., et al. Tritium Production in Palladium Deuteride/Hydride in Evacuated Chamber. in 8th International Conference on Cold Fusion. 2000. Lerici (La Spezia), Italy: Italian Physical Society, Bologna,

More information

Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges

Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges Korean J. Chem. Eng., 21(6), 1235-1239 (2004) Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges Hyung Jo Park*, Hyun-Wook Ra, Kwang Sup Song** and Yoon-Bong

More information

Fabrication at the nanoscale for nanophotonics

Fabrication at the nanoscale for nanophotonics Fabrication at the nanoscale for nanophotonics Ilya Sychugov, KTH Materials Physics, Kista silicon nanocrystal by electron beam induced deposition lithography Outline of basic nanofabrication methods Devices

More information