Efficient EUV source by use of a micro-target containing tin nanoparticles

Size: px
Start display at page:

Download "Efficient EUV source by use of a micro-target containing tin nanoparticles"

Transcription

1 2008 International Workshop on EUV Lithography Efficient EUV source by use of a micro-target containing tin nanoparticles Takeshi Higashiguchi higashi@cc.utsunomiya-u.ac.jp Utsunomiya University, Japan Masanori Kaku, Masahito Katto, and Shoichi Kubodera University of Miyazaki, JAPAN June 2008 (Wailea Beach Marriott, Maui, Hawaii, USA) Work supported by MEXT (Ministry of Education, Culture, Science and Technology, Japan) under contract subject Leading project for EUV lithography source development

2 Introduction A 10-ns Nd:YAG laser-produced Sn plasma Production of an efficient EUV emission Intensity (arb. units) EUV CE ~ 1.2% (13.5 nm, 2%BW, cos 0.5 θ distribution) Wavelength (nm) T. Higashiguchi et al., RSI 76, (2005).

3 Liquid Sn jet target EUV CE of 2% from a YAG LPP T. Higashiguchi et al., RSI 78, (2007).

4 Use of low-density targets What kind of targets for Nd:YAG-Sn-LPPs? Possibility of low-density targets for efficient EUV emission 2.2% CE Osaka University (Japan) T. Okuno et al., APL 88, (2006). University College Dublin (Ireland) P. Hayden et al., JAP 99, (2006).

5 Introduction A 10-ns Nd:YAG laser-produced Sn plasma Micro-order debris emission was unavoidable with a solid target. θ = μm T. Higashiguchi et al., RSI 76, (2005).

6 Low-debris, efficient CO 2 LPP using Sn target at EUVA EUV source coupled with 1-T magnetic field TEM cross sectional image Before exposure B = 0 Tesla B = 1 Tesla Y. Ueno et al., APL 91, (2007). Y. Ueno et al., APL 92, (2008).

7 Proposition How is use of a target for a LPP EUV source? Achievement of a low-debris & efficient EUV source Efficient EUV emission, EUV CE (> 1%) Tin (Sn) Reduce μm-neutral fragment particles Low debris High repetition rate operation Nanoparticle (6 nm av.) Low concentration (< 20%wt) Quasi-mass-limited microjet Regenerative liquid-jet target A regenerative liquid microjet target containing low-concentration tin (Sn) nanoparticles T. Higashiguchi et al., APL 88, (2006).

8 Objective We investigate various characteristics of a low- density colloidal jet target containing Sn nano particles and answer the following questions. Can we obtain a nominal EUV CE by use of a low-density colloidal target? Is the amount of debris really reduced with such a target?

9 Single laser pulse irradiation Schematic diagram of experimental setup Regenerative liquid microjet target containing tin nanoparticles EUV energy meter (Mo/Si mirror) Tank ω 10 ns Lens Single laser pulse (10 Hz) f = 30 cm XRD Microjet target (50 μm, <20%wt) LPP Differential pumping Spectrometer (1200 lines/mm) Pumping outlet Tank

10 Single laser pulse irradiation EUV spectra Enhancement of EUV emission with increase of concentration Intensity (arb. units) O 5+ (4p-2s) O 5+ (4d-2p) O 5+ (3p-2s) O 5+ (3d-2p) Wavelength (nm) 17%wt 6%wt T. Higashiguchi et al., Proc. SPIE 6151, (2006).

11 Single laser pulse irradiation Concentration dependence of EUV CE Increase of EUV CE with increase of concentration nm, 2%BW, 2π sr EUV CE (%) Tin (Sn) concentration (%wt) T. Higashiguchi et al., Proc. SPIE 6151, (2006).

12 Use of dual laser pulses for compensation of EUV CE Schematic diagram of experimental setup Regenerative liquid microjet target containing tin nanoparticles Tank EUV energy meter (Mo/Si mirror) Lens Prepulse ω 2ω 10 ns 8 ns Dual laser pulses (10 Hz) f = 30 cm XRD Microjet target (50 μm, 6%wt) LPP Differential pumping Spectrometer (1200 lines/mm) Pumping outlet Tank

13 Use of dual laser pulses for compensation of EUV CE Enhancement of EUV CE at SnO 2 concentration of 6%wt 1.5 EUV CE (%) Pulse separation time (ns) T. Higashiguchi et al., APL 88, (2006).

14 Use of dual laser pulses for compensation of EUV CE Optimum delay time explained by the plasma expansion (simpler estimate) τ crit n n e0 crit 1/ 3 r v Jet Exp 80 ns

15 Use of dual laser pulses for compensation of EUV CE Enhancement of EUV CE with increase of SnO 2 concentration in a target EUV CE (%) %wt 6%wt Laser intensity (x10 11 W/cm 2 ) T. Higashiguchi et al., Proc. SPIE 6151, (2006).

16 Dual laser pulse irradiation at an optimum CE condition Suppression of ionic debris using dual pulses Comparison of energy spectra ESA signal (arb. units) without a pre-plasma O + O 2+ Sn + Sn Kinetic energy (kev) with a pre-plasma Δτ = 100 ns Kinetic energy (kev) T. Higashiguchi et al., APL 91, (2007).

17 Dual laser pulse irradiation at an optimum CE condition Comparison of XPS spectra before and after laser irradiation O1s After laser irradiation Before laser irradiation Intensity (arb. units) O1s Sn 3d C1s Si 2p Si 2s C1s Si 2s Si 2p O2s Binding energy (ev) O2s M. Kaku et al., APL 92, (2008).

18 Dual laser pulse irradiation at an optimum CE condition Deposited rate Debris thickness (nm) Single pulse Dual pulses Number of laser pulses 0.3 nm/10,000 shots@model 0.7 nm/10,000 shots@experiment M. Kaku et al., APL 92, (2008).

19 Dual laser pulse irradiation at an optimum CE condition Reduction of deposited debris M. Kaku et al., APL 92, (2008).

20 One of the next generation EUV sources Coherent EUV sources Inverse Compton scattering High-order harmonic Using ion channel H. Kapteyn et al., Science 302, 95 (2003).

21 One of the next generation EUV sources Plasma channel of a capillary DPP waveguide 160 ns 250 μm ne(10 17 cm -3 ) Discharge ON (160 ns) Discharge OFF

22 Summary We investigated various emission and debris characteristics of a low-density colloidal jet target containing tin nano particles. We were successful to increase the CE more than 1% even with a low-density colloidal target with a help of a pre-plasma. The amount of ionic debris significantly decreased when the optimum CE conditions were fulfilled simultaneously. The deposited amount of debris, however, seemed to be no change even using double laser pulses. We shows the potential of the target, coupling the double laser pulse to achieve the high CE and low debris. T. Higashiguchi et al., APL 88, (2006). T. Higashiguchi et al., APL 91, (2007). M. Kaku et al., APL 92, (2008).

A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target

A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target Takeshi Higashiguchi E-mail: higashi@opt.miyazaki-u.ac.jp Keita Kawasaki, Naoto Dojyo, Masaya Hamada, Wataru Sasaki,

More information

Rare-earth plasma extreme ultraviolet sources at nm for next generation semiconductor lithography

Rare-earth plasma extreme ultraviolet sources at nm for next generation semiconductor lithography Rare-earth plasma extreme ultraviolet sources at 6.5-6.7 nm for next generation semiconductor lithography Takeshi Higashiguchi 1 Takamitsu Otsuka 1, Deirdre Kilbane 3, John White 3, Noboru Yugami 1,2,

More information

Utsunomiya University Experiments, September - November 2011

Utsunomiya University Experiments, September - November 2011 Colm O Gorman 1, Thomas Cummins 1, Takamitsu Otsuka 2, Noboru Yugami 2,4,Weihua Jiang 5, Akira Endo 6, Bowen Li 1, Padraig Dunne 1,Emma Sokell 1, Gerry O Sullivan 1 and Takeshi Higashiguchi 2,4 Utsunomiya

More information

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application EUV light source plasma Tin icrodroplet Main pulse (CO2 laser pulse) Pre-pulse (Nd:YAG laser

More information

Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography

Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography P10 Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography A. Sunahara 1 K. Nishihara 2 A. Sasaki 3 1 Institute for Laser Technology (ILT) 2 Institute of Laser

More information

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source 1 Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source D. Nakamura, T. Akiyama, K. Tamaru, A. Takahashi* and T. Okada Graduate School of Information Science and Electrical Engineering,

More information

EUV lithography and Source Technology

EUV lithography and Source Technology EUV lithography and Source Technology History and Present Akira Endo Hilase Project 22. September 2017 EXTATIC, Prague Optical wavelength and EUV (Extreme Ultraviolet) VIS 13.5nm 92eV Characteristics of

More information

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS Chapter 7 EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS Hot dense plasma lasing medium d θ λ λ Visible laser pump Ch07_00VG.ai The Processes of Absorption, Spontaneous Emission, and Stimulated Emission Absorption

More information

EUV Source Developments on Laser-Produced Plasmas using Lithium New Scheme Target

EUV Source Developments on Laser-Produced Plasmas using Lithium New Scheme Target San Diego, 25.11.7-9 EUV Source Developments on Laser-Produced Plasmas using thium New Scheme Target Shuji MIYAMOTO, Sho AMANO, Takahiro INOUE Petru-Edward NICA, Atsushi SHIMOURA Kakyo KAKU, and Takayasu

More information

Progress in LPP EUV Source Development by Japan MEXT Project

Progress in LPP EUV Source Development by Japan MEXT Project Progress in LPP EUV Source Development by Japan MEXT Project Y. Izawa, N. Miyanaga, H. Nishimura, S. Fujioka, T. Aota, K. Nagai, T. Norimatsu,K. Nishihara, M. Murakami, Y. -G. Kang, M. Nakatsuka, H. Fujita,

More information

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source 3rd International EUVL Symposium NOVEMBER 1-4, 2004 Miyazaki, Japan Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source H. Tanaka, A. Matsumoto, K. Akinaga, A. Takahashi

More information

Laser heating of noble gas droplet sprays: EUV source efficiency considerations

Laser heating of noble gas droplet sprays: EUV source efficiency considerations Laser heating of noble gas droplet sprays: EUV source efficiency considerations S.J. McNaught, J. Fan, E. Parra and H.M. Milchberg Institute for Physical Science and Technology University of Maryland College

More information

Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas

Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas Sergey V. Zakharov +, Peter Choi, Vasily S. Zakharov NANO UV sas EPPRA sas + also with RRC Kurchatov Institute,

More information

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Yezheng Tao*, Mark Tillack, Kevin Sequoia, Russel Burdt, Sam Yuspeh, and Farrokh Najmabadi University of California, San Diego

More information

Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source!

Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source! Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source! H. Nishimura 1, H. Matsukuma 1, K. Yoshida 1, T. Hosoda 1, A. Yogo 1,! N. Tanaka 1, S. Fujioka 1, K. Nishihara 1,! A.

More information

Development of Radiation Hydrodynamic code STAR for EUV plasmas. Atsushi Sunahara. Institute for Laser Technology

Development of Radiation Hydrodynamic code STAR for EUV plasmas. Atsushi Sunahara. Institute for Laser Technology Development of Radiation Hydrodynamic code STAR for EUV plasmas Atsushi Sunahara suna@ile.osaka-u.ac.jp Institute for Laser Technology 2013 International Workshop on EUV and Soft x-ray Sources University

More information

Optimization of laser-produced plasma light sources for EUV lithography

Optimization of laser-produced plasma light sources for EUV lithography page 1 of 17 Optimization of laser-produced plasma light sources for EUV lithography M. S. Tillack and Y. Tao 1 University of California, San Diego Center for Energy Research 1 Currently at Cymer Inc.

More information

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources A. Hassanein, V. Sizyuk, S.S. Harilal, and T. Sizyuk School of Nuclear Engineering and Center

More information

Research Article Development of Laser-Produced Tin Plasma-Based EUV Light Source Technology for HVM EUV Lithography

Research Article Development of Laser-Produced Tin Plasma-Based EUV Light Source Technology for HVM EUV Lithography Physics Research International Volume, Article ID 49495, pages doi:.55//49495 Research Article Development of Laser-Produced Tin Plasma-Based EUV Light Source Technology for HVM EUV Lithography Junichi

More information

CHARACTERISTICS OF ION EMISSION FROM CO 2 /Nd:YAG LPP WITH TIN TARGET

CHARACTERISTICS OF ION EMISSION FROM CO 2 /Nd:YAG LPP WITH TIN TARGET CHARACTERISTICS OF ION EMISSION FROM CO 2 /Nd:YAG LPP WITH TIN TARGET Akihiko Takahashi 1, Hiroki Tanaka 2, Atsushi Matsumoto 2, Yuuki Hashimoto 2, Kiichiro Uchino 3, Tatsuo Okada 2 1 Department of Health

More information

UC San Diego EUV Lithography Group Progress Report

UC San Diego EUV Lithography Group Progress Report University of California, San Diego UCSD-CER-10-02 UC San Diego EUV Lithography Group Progress Report M. S. Tillack, Y. Tao, F. Najmabadi, L. Carlson, S. Yuspeh, R. Burdt, A. Farkas, N. Shaikh, N. Amin,

More information

Evaluation at the intermediate focus for EUV Light Source

Evaluation at the intermediate focus for EUV Light Source Evaluation at the intermediate focus for EUV Light Source Takashi Suganuma, Georg Soumagne, Masato Moriya, Tamotsu Abe, Akira Sumitani, Akira Endo Extreme Ultraviolet Lithography System Development Association

More information

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas I. Kambali, G. Atom O Sullivan Indonesia / Atom Vol. Indonesia 4 No. 2 (24) Vol. 47 No. - 2 (24) 7 - Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas I. Kambali * and G. O Sullivan

More information

Plasma EUV source has been studied to achieve 180W of power at λ=13.5nm, which is required for the next generation microlithography

Plasma EUV source has been studied to achieve 180W of power at λ=13.5nm, which is required for the next generation microlithography Acknowledgement K. Nishihara, H. Nishimura, S. Fujioka Institute for Laser Engineering, Osaka University A. Sunahara, H. Furukawa Institute for Laser Technology T. Nishikawa, Okayama University F. Koike,

More information

Important processes in modeling and optimization of EUV lithography sources

Important processes in modeling and optimization of EUV lithography sources Important processes in modeling and optimization of UV lithography sources T. Sizyuk and A. Hassanein Center for Materials under xtreme nvironment, School of Nuclear ngineering Purdue University, West

More information

Development and Optimization of EUV Emission from Laser Produced Plasmas

Development and Optimization of EUV Emission from Laser Produced Plasmas Development and Optimization of EUV Emission from Laser Produced Plasmas Gerry O Sullivan School of Physics, University College Dublin, Belfield, Dublin 4, Ireland. 2011 International Workshop on EUV Lithography,

More information

Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements

Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements M. S. Tillack, Y. Tao, Y. Ueno*, R. Burdt, S. Yuspeh, A. Farkas, 2 nd TITAN workshop on MFE/IFE common research

More information

Comparison of EUV spectral and ion emission features from laserproduced

Comparison of EUV spectral and ion emission features from laserproduced Comparison of EUV spectral and ion emission features from laserproduced and plasmas R. W. Coons, D. Campos, M. Crank, S. S. Harilal, and A. Hassanein School of Nuclear Engineering, and Center for Materials

More information

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine Content EUV lithography: History and status EUV sources- historical perspective: Age of choice Age of Xe Age of Sn Age

More information

Dynamics of a laser-assisted Z-pinch EUV source

Dynamics of a laser-assisted Z-pinch EUV source Dynamics of a laser-assisted Z-pinch EUV source Isaac Tobin Laser & Plasma Applications, School of Physics, Trinity College Dublin Supervisor Prof. James G. Lunney EUV Litho Source Workshop 6 th November

More information

Emission characteristics of debris from CO 2 and Nd:YAG laser-produced tin plasmas for extreme ultraviolet lithography light source

Emission characteristics of debris from CO 2 and Nd:YAG laser-produced tin plasmas for extreme ultraviolet lithography light source Appl. Phys. B 92, 73 77 (2008) DOI: 10.1007/s00340-008-3068-5 Applied Physics B Lasers and Optics a. takahashi 1, d. nakamura 2 k. tamaru 2 t. akiyama 2 t. okada 2 Emission characteristics of debris from

More information

LASER-COMPTON SCATTERING AS A POTENTIAL BRIGHT X-RAY SOURCE

LASER-COMPTON SCATTERING AS A POTENTIAL BRIGHT X-RAY SOURCE Copyright(C)JCPDS-International Centre for Diffraction Data 2003, Advances in X-ray Analysis, Vol.46 74 ISSN 1097-0002 LASER-COMPTON SCATTERING AS A POTENTIAL BRIGHT X-RAY SOURCE K. Chouffani 1, D. Wells

More information

Plasma Source Modelling for Future Lithography at 6.7 nm and Other Applications

Plasma Source Modelling for Future Lithography at 6.7 nm and Other Applications Plasma Source Modelling for Future Lithography at 6.7 nm and Other Applications Gerry O Sullivan, Deirdre Kilbane, Li Bowen and Padraig Dunne, School of Physics, University College Dublin, Belfield, Dublin

More information

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source Introduction and Outline A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source Rainer Lebert, AIXUV Target Features of High Brightness EUV Source LPP Concept to reach Specification Target

More information

Volume Production of D - Negative Ions in Low-Pressure D 2 Plasmas - Negative Ion Densities versus Plasma Parameters -

Volume Production of D - Negative Ions in Low-Pressure D 2 Plasmas - Negative Ion Densities versus Plasma Parameters - Volume Production of D - Negative Ions in Low-Pressure D 2 Plasmas - Negative Ion Densities versus Plasma Parameters - Osamu Fukumasa and Shigefumi Mori Department of Electrical and Electronic Engineering,

More information

High intensity EUV and soft X-ray X plasma sources modelling

High intensity EUV and soft X-ray X plasma sources modelling High intensity EUV and soft X-ray X plasma sources modelling Sergey V. Zakharov +, Vasily S. Zakharov +,Peter Choi, Alex Yu. Krukovskiy, Vladimir G. Novikov, Anna D. Solomyannaya NANO UV sas EPPRA sas

More information

EXTREME ULTRAVIOLET (EUV) lithography (EUVL)

EXTREME ULTRAVIOLET (EUV) lithography (EUVL) 714 IEEE TRANSACTIONS ON PLASMA SCIENCE, VOL. 38, NO. 4, APRIL 2010 Interaction of a CO 2 Laser Pulse With Tin-Based Plasma for an Extreme Ultraviolet Lithography Source Yezheng Tao, Mark S. Tillack, Sam

More information

Peculiarities of Modeling LPP Source at 6.X nm

Peculiarities of Modeling LPP Source at 6.X nm V.Novikov, V.Ivanov, K.Koshelev, V.Krivtsun, A.Grushin, R.Kildiyarova, A.Solomyannaya Peculiarities of Modeling LPP Source at 6.X nm Outline Theoretical base Optimal plasma parameters Band position Scaling

More information

Institute for Laser Technology

Institute for Laser Technology Shinsuke Fujioka, Teruyuki Ugomori, Kensuke Yoshida, Chaogang Li, Atsushi Sunahara A, Katsunobu Nishihara, Nozomi Tanaka, Hiroaki Nishimura Institute of Laser Engineering, Osaka University A Institute

More information

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources Kazutoshi Takenoshita, Chiew-Seng Koay, Somsak Teerawattansook, & Martin Richardson Laser Plasma Laboratory,

More information

Padraig Dunne, UCD School of Physics Dublin, Ireland.

Padraig Dunne, UCD School of Physics Dublin, Ireland. Padraig Dunne, UCD School of Physics Dublin, Ireland. Contents Zurich Prague Dublin Padova Carl Zeiss Aachen ASML IMEC EPPRA Xtreme ISAN ISAN Progress in on line MLM carbon cleaning Progress in radiative

More information

Initiation of nuclear reactions under laser irradiation of Au nanoparticles in the aqueous solution of Uranium salt. A.V. Simakin and G.A.

Initiation of nuclear reactions under laser irradiation of Au nanoparticles in the aqueous solution of Uranium salt. A.V. Simakin and G.A. Initiation of nuclear reactions under laser irradiation of Au nanoparticles in the aqueous solution of Uranium salt A.V. Simakin and G.A. Shafeev Wave Research Center of A.M. Prokhorov General Physics

More information

Measurement of EUV scattering from Mo/Si multilayer mirrors

Measurement of EUV scattering from Mo/Si multilayer mirrors Measurement of EUV scattering from Mo/Si multilayer mirrors N. Kandaka, T. Kobayashi, T. Komiya, M. Shiraishi, T. Oshino and K. Murakami Nikon Corp. 3 rd EUVL Symposium Nov. 2-4 2004 (Miyazaki, JAPAN)

More information

High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources *

High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources * High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources * J.D. Hybl**, T.Y. Fan, W.D. Herzog, T.H. Jeys, D.J.Ripin, and A. Sanchez 2008 International Workshop on EUV Lithography

More information

Development of Polarization Interferometer Based on Fourier Transform Spectroscopy for Thomson Scattering Diagnostics

Development of Polarization Interferometer Based on Fourier Transform Spectroscopy for Thomson Scattering Diagnostics 16th International Toki Conference Advanced Imaging and Plasma Diagnostics Ceratopia Toki, Gifu, JAPAN December 5-8, 2006 Development of Polarization Interferometer Based on Fourier Transform Spectroscopy

More information

Q. Shen 1,2) and T. Toyoda 1,2)

Q. Shen 1,2) and T. Toyoda 1,2) Photosensitization of nanostructured TiO 2 electrodes with CdSe quntum dots: effects of microstructure in substrates Q. Shen 1,2) and T. Toyoda 1,2) Department of Applied Physics and Chemistry 1), and

More information

Influence of an intensive UV preionization on evolution and EUV-emission of the laser plasma with Xe gas target (S12)

Influence of an intensive UV preionization on evolution and EUV-emission of the laser plasma with Xe gas target (S12) Influence of an intensive UV preionization on evolution and EUV-emission of the laser plasma with Xe gas target (S12) 2013 Int. Workshop on EUV and Soft X-ray Sources UCD, Dublin, November 4-7, 2013 A.Garbaruk

More information

Laser matter interaction

Laser matter interaction Laser matter interaction PH413 Lasers & Photonics Lecture 26 Why study laser matter interaction? Fundamental physics Chemical analysis Material processing Biomedical applications Deposition of novel structures

More information

Laser Ablation for Chemical Analysis: 50 Years. Rick Russo Laser Damage Boulder, CA September 25, 2012

Laser Ablation for Chemical Analysis: 50 Years. Rick Russo Laser Damage Boulder, CA September 25, 2012 Laser Ablation for Chemical Analysis: 50 Years Rick Russo Lawrence Berkeley National Laboratory Applied Spectra, Inc 2012 Laser Damage Boulder, CA September 25, 2012 Laser Ablation for Chemical Analysis:

More information

Supplementary information

Supplementary information Supplementary information Binding energies, lifetimes, and implications of bulk and interface solvated electrons in water Katrin R. Siefermann 1, Yaxing Liu 1, Evgeny Lugovoy 2, Oliver Link 1, Manfred

More information

Optimization of EUV Lithography Plasma Radiation Source Characteristics Using HELIOS-CR

Optimization of EUV Lithography Plasma Radiation Source Characteristics Using HELIOS-CR Optimization of EUV Lithography Plasma Radiation Source Characteristics Using HELIOS-CR J. J. MacFarlane, P. Wang, I. E. Golovkin, P. R. Woodruff Prism Computational Sciences, Inc. Madison, WI (USA) http://www.prism-cs.com

More information

Construction of a 100-TW laser and its applications in EUV laser, wakefield accelerator, and nonlinear optics

Construction of a 100-TW laser and its applications in EUV laser, wakefield accelerator, and nonlinear optics Construction of a 100-TW laser and its applications in EUV laser, wakefield accelerator, and nonlinear optics Jyhpyng Wang ( ) Institute of Atomic and Molecular Sciences Academia Sinica, Taiwan National

More information

M o n o e n e r g e t i c A c c e l e r a t i o n o f E l e c t r o n s b y L a s e r - D r i v e n P l a s m a W a v e

M o n o e n e r g e t i c A c c e l e r a t i o n o f E l e c t r o n s b y L a s e r - D r i v e n P l a s m a W a v e USj-WS on HIF & HEDP at Utsunomiya 29 Sep,. 2005 M o n o e n e r g e t i c A c c e l e r a t i o n o f E l e c t r o n s b y L a s e r - D r i v e n P l a s m a W a v e Kazuyoshi KOYAMA, Takayuki WATANABE

More information

Laser plasma EUVL sources progress and challenges

Laser plasma EUVL sources progress and challenges Laser plasma EUVL sources progress and challenges M. Richardson, C-S. Koay, K. Takenoshita, C. Keyser *, S. George, S. Teerawattansook Laser Plasma Laboratory, School of Optics: CREOL & FPCE University

More information

Status of EUV Sources for Mask Metrology

Status of EUV Sources for Mask Metrology Status of EUV Sources for Mask Metrology Vivek Bakshi, Ph.D. EUV Litho Inc. 10202 Womack Road, Austin, TX 78748 USA www.euvlitho.com vivek.bakshi@euvlitho.com Outline Background Current Technology Status

More information

Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, Wuhan , China

Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, Wuhan , China Research of the EUV radiation and CO 2 Laser produced tin plasma Wang Xinbing 1 *, Zuo DouLuo 1, Lu Peixiang 2, Wu Tao 3 1 Wuhan National Laboratory for Optoelectronics, Huazhong University of Science

More information

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools Stephen F. Horne, Matthew M. Besen, Matthew J. Partlow, Donald K. Smith, Paul A. Blackborow, Deborah S. Gustafson Agenda Background

More information

Part II. Interaction with Single Atoms. Multiphoton Ionization Tunneling Ionization Ionization- Induced Defocusing High Harmonic Generation in Gases

Part II. Interaction with Single Atoms. Multiphoton Ionization Tunneling Ionization Ionization- Induced Defocusing High Harmonic Generation in Gases - Part II 27 / 115 - 2-28 / 115 Bohr model recap. At the Bohr radius - a B = the electric field strength is: 2 me 2 = 5.3 10 9 cm, E a = e ab 2 (cgs) 5.1 10 9 Vm 1. This leads to the atomic intensity:

More information

Radiative Properties of Krypton Plasma & Emission of Krypton DPP Source in Water-Window Spectral Range

Radiative Properties of Krypton Plasma & Emission of Krypton DPP Source in Water-Window Spectral Range 203 International Workshop on EUV and Soft X-Ray Sources, November 3-7, 203, Dublin, Ireland Radiative Properties of Krypton Plasma & Emission of Krypton DPP Source in Water-Window Spectral Range Vassily

More information

Opacity effect on extreme ultraviolet radiation from laser-produced tin plasmas

Opacity effect on extreme ultraviolet radiation from laser-produced tin plasmas Physics Physics fields Okayama University Year 2005 Opacity effect on extreme ultraviolet radiation from laser-produced tin plasmas Shinsuke Fujioka, Osaka University Hiroaki Nishimura, Osaka University

More information

Magnetic fields applied to laser-generated plasma to enhance the ion yield acceleration

Magnetic fields applied to laser-generated plasma to enhance the ion yield acceleration Magnetic fields applied to laser-generated plasma to enhance the ion yield acceleration L. Torrisi, G. Costa, and G. Ceccio Dipartimento di Scienze Fisiche MIFT, Università di Messina, V.le F.S. D Alcontres

More information

High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources *

High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources * High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources * J.D. Hybl**, T.Y. Fan, W.D. Herzog, T.H. Jeys, D.J.Ripin, and A. Sanchez EUV Source Workshop 29 May 2009 * This work

More information

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION Principles and Applications DAVID ATTWOOD UNIVERSITY OF CALIFORNIA, BERKELEY AND LAWRENCE BERKELEY NATIONAL LABORATORY CAMBRIDGE UNIVERSITY PRESS Contents

More information

A short pulsed laser cleaning system for EUVL tool

A short pulsed laser cleaning system for EUVL tool A short pulsed laser cleaning system for EUVL tool Masami Yonekawa, Hisashi Namba and Tatsuya Hayashi Nanotechnology & Advanced System Research Laboratories, Canon inc. 23-10, Kiyohara-Kogyodanchi, Utsunomiya-shi,

More information

Development of Soft X-rayX using Laser Compton Scattering

Development of Soft X-rayX using Laser Compton Scattering 26 th Advanced ICFA Beam Dynamics Workshop on Nanometre-Size Colliding Beams September 2-6, 2002 at Lausanne Development of Soft X-rayX Source using Laser Compton Scattering R. Kuroda*, S. Kashiwagi*,

More information

Properties of High Intensity EUV Micro-Plasma Pulsed Discharge EUV source

Properties of High Intensity EUV Micro-Plasma Pulsed Discharge EUV source Properties of High Intensity EUV Micro-Plasma Pulsed Discharge EUV source P. Choi, S.V. Zakharov, R. Aliaga Rossel, O. Benali, O. Sarroukh, V.S. Zakharov EPPRA NanoUV Abstract EPPRA has developed a unique

More information

Surfactant-Free Solution Synthesis of Fluorescent Platinum Subnanoclusters

Surfactant-Free Solution Synthesis of Fluorescent Platinum Subnanoclusters This journal is (c) The Royal Society of Chemistry 21 Surfactant-Free Solution Synthesis of Fluorescent Platinum Subnanoclusters Hideya KAWASAKI,*, Hiroko YAMAMOTO, Hiroki FUJIMORI, Ryuichi ARAKAWA, Mitsuru

More information

The Repeller Field debris mitigation approach for EUV sources

The Repeller Field debris mitigation approach for EUV sources The Repeller Field debris mitigation approach for EUV sources K. Takenoshita, C-S. Koay, M. Richardson (Laser Plasma Laboratory, School of Optics-CREOL at University of Central Florida) I.C.E. Turcu (JMAR

More information

Spectral control of emissions from Sn-doped targets for EUV lithography

Spectral control of emissions from Sn-doped targets for EUV lithography University of California, San Diego UCSD-CER-05-05 Spectral control of emissions from Sn-doped targets for EUV lithography S. S. Harilal, B. O Shay, M. S. Tillack and Y. Tao August 2005 Center for Energy

More information

Behavior and Energy States of Photogenerated Charge Carriers

Behavior and Energy States of Photogenerated Charge Carriers S1 Behavior and Energy States of Photogenerated Charge Carriers on Pt- or CoOx-loaded LaTiO2N Photocatalysts: Time-resolved Visible to mid-ir Absorption Study Akira Yamakata, 1,2* Masayuki Kawaguchi, 1

More information

Laser triggered Z-pinch broadband extreme ultraviolet source for metrology

Laser triggered Z-pinch broadband extreme ultraviolet source for metrology Laser triggered Z-pinch broadband extreme ultraviolet source for metrology I. Tobin, L. Juschkin, Y. Sidelnikov, F. O Reilly, P. Sheridan et al. Citation: Appl. Phys. Lett. 102, 203504 (2013); doi: 10.1063/1.4807172

More information

Mechanisms of Visible Photoluminescence from Size-Controlled Silicon Nanoparticles

Mechanisms of Visible Photoluminescence from Size-Controlled Silicon Nanoparticles Mat. Res. Soc. Symp. Proc. Vol. 737 23 Materials Research Society F1.5.1 Mechanisms of Visible Photoluminescence from Size-Controlled Silicon Nanoparticles Toshiharu Makino *, Nobuyasu Suzuki, Yuka Yamada,

More information

Supporting Information s for

Supporting Information s for Supporting Information s for # Self-assembling of DNA-templated Au Nanoparticles into Nanowires and their enhanced SERS and Catalytic Applications Subrata Kundu* and M. Jayachandran Electrochemical Materials

More information

Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target

Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target M. Vrbova 1, P. Vrba 2, S.V. Zakharov 3, V.S. Zakharov 4, M. Müller 5, D. Pánek 1, T. Parkman 1, P.Brůža 1 1 Czech Technical

More information

Physik und Anwendungen von weicher Röntgenstrahlung I (Physics and applications of soft X-rays I)

Physik und Anwendungen von weicher Röntgenstrahlung I (Physics and applications of soft X-rays I) Physik und Anwendungen von weicher Röntgenstrahlung I (Physics and applications of soft X-rays I) Sommersemester 2015 Veranstalter : Prof. Dr. Ulf Kleineberg (ulf.kleineberg@physik.uni-muenchen.de) LMU,

More information

The Future of EUV sources: a FIRE perspective

The Future of EUV sources: a FIRE perspective The Future of EUV sources: a FIRE perspective C Fanara EPPRA sas 2010 International Workshop on Extreme Ultraviolet Sources University College Dublin Dublin, Ireland - November 13-15 2010 OUTLOOK 1. EPPRA:

More information

Radiation hydrodynamics of tin targets for laser-plasma EUV sources

Radiation hydrodynamics of tin targets for laser-plasma EUV sources Radiation hydrodynamics of tin targets for laser-plasma EUV sources M. M. Basko, V. G. Novikov, A. S. Grushin Keldysh Institute of Applied Mathematics, Moscow, Russia RnD-ISAN, Troitsk, Moscow, Russia

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Supplementary Information Speckle-free laser imaging using random laser illumination Brandon Redding 1*, Michael A. Choma 2,3*, Hui Cao 1,4* 1 Department of Applied Physics, Yale University, New Haven,

More information

Takeo Watanabe Center for EUVL, University of Hyogo

Takeo Watanabe Center for EUVL, University of Hyogo Soft X-ray Absorption Spectroscopy using SR for EUV Resist Chemical Reaction Analysis Takeo Watanabe Center for EUVL, University of Hyogo Outline 1) Background 2) Princple of X-ray absorption spectroscopy

More information

plasma optics Amplification of light pulses: non-ionised media

plasma optics Amplification of light pulses: non-ionised media Amplification of light pulses: non-ionised media since invention of laser: constant push towards increasing focused intensity of the light pulses Chirped pulse amplification D. Strickland, G. Mourou, Optics

More information

Cesium Dynamics and H - Density in the Extended Boundary Layer of Negative Hydrogen Ion Sources for Fusion

Cesium Dynamics and H - Density in the Extended Boundary Layer of Negative Hydrogen Ion Sources for Fusion Cesium Dynamics and H - Density in the Extended Boundary Layer of Negative Hydrogen Ion Sources for Fusion C. Wimmer a, U. Fantz a,b and the NNBI-Team a a Max-Planck-Institut für Plasmaphysik, EURATOM

More information

PIC simulations of laser interactions with solid targets

PIC simulations of laser interactions with solid targets PIC simulations of laser interactions with solid targets J. Limpouch, O. Klimo Czech Technical University in Prague, Faculty of Nuclear Sciences and Physical Engineering, Břehová 7, Praha 1, Czech Republic

More information

ULTRA-INTENSE LASER PLASMA INTERACTIONS RELATED TO FAST IGNITOR IN INERTIAL CONFINEMENT FUSION

ULTRA-INTENSE LASER PLASMA INTERACTIONS RELATED TO FAST IGNITOR IN INERTIAL CONFINEMENT FUSION ULTRA-INTENSE LASER PLASMA INTERACTIONS RELATED TO FAST IGNITOR IN INERTIAL CONFINEMENT FUSION R. KODAMA, H. FUJITA, N. IZUMI, T. KANABE, Y. KATO*, Y. KITAGAWA, Y. SENTOKU, S. NAKAI, M. NAKATSUKA, T. NORIMATSU,

More information

Laser and pinching discharge plasmas spectral characteristics in water window region

Laser and pinching discharge plasmas spectral characteristics in water window region Laser and pinching discharge plasmas spectral characteristics in water window region P Kolar 1, M Vrbova 1, M Nevrkla 2, P Vrba 2, 3 and A Jancarek 2 1 Czech Technical University in Prague, Faculty of

More information

Inves&ga&on of atomic processes in laser produced plasmas for the short wavelength light sources

Inves&ga&on of atomic processes in laser produced plasmas for the short wavelength light sources Inves&ga&on of atomic processes in laser produced plasmas for the short wavelength light sources Akira Sasaki Quantum Beam Science Directorate Japan Atomic Energy Agency Introduc&on EUV source at λ=6.5nm

More information

Nano-ECRIS project: a new ECR ion source at Toyo University to produce endohedral fullerenes

Nano-ECRIS project: a new ECR ion source at Toyo University to produce endohedral fullerenes The Bio-Nano Nano-ECRIS project: a new ECR ion source at Toyo University to produce endohedral fullerenes ECRIS 2008 18th International Workshop on ECR Ion Sources Chicago, Illinois USA - September 15-18,

More information

Surface Properties of EUVL. Laser Shock Cleaning (LSC)

Surface Properties of EUVL. Laser Shock Cleaning (LSC) 2007 International EUVL Symposium, Japan Surface Properties of EUVL Mask Layers after High Energy Laser Shock Cleaning (LSC) Tae-Gon Kim, Young-Sam Yoo, Il-Ryong Son, Tae-Geun Kim *, Jinho Ahn *, Jong-Myoung

More information

Selective Photocatalytic Oxidation of Aniline to Nitrosobenzene by Pt Nanoparticles Supported on TiO 2 under Visible Light Irradiation

Selective Photocatalytic Oxidation of Aniline to Nitrosobenzene by Pt Nanoparticles Supported on TiO 2 under Visible Light Irradiation Selective Photocatalytic Oxidation of Aniline to Nitrosobenzene by Pt Nanoparticles Supported on TiO 2 under Visible Light Irradiation Yasuhiro Shiraishi,*, Hirokatsu Sakamoto, Keisuke Fujiwara, Satoshi

More information

Monoenergetic Proton Beams from Laser Driven Shocks

Monoenergetic Proton Beams from Laser Driven Shocks Monoenergetic Proton Beams from Laser Driven Shocks Dan Haberberger, Department of Electrical Engineering, UCLA In collaboration with: Sergei Tochitsky, Chao Gong, Warren Mori, Chan Joshi, Department of

More information

Birck Nanotechnology Center XPS: X-ray Photoelectron Spectroscopy ESCA: Electron Spectrometer for Chemical Analysis

Birck Nanotechnology Center XPS: X-ray Photoelectron Spectroscopy ESCA: Electron Spectrometer for Chemical Analysis Birck Nanotechnology Center XPS: X-ray Photoelectron Spectroscopy ESCA: Electron Spectrometer for Chemical Analysis Dmitry Zemlyanov Birck Nanotechnology Center, Purdue University Outline Introduction

More information

Pushing the limits of laser synchrotron light sources

Pushing the limits of laser synchrotron light sources Pushing the limits of laser synchrotron light sources Igor Pogorelsky National Synchrotron Light Source 2 Synchrotron light source With λ w ~ several centimeters, attaining XUV region requires electron

More information

プラズマ光源関連イオンの EBIT による分光

プラズマ光源関連イオンの EBIT による分光 プラズマ光源関連イオンの EBIT による分光 Emission spectroscopy of multiply charged ions related to plasma light sources with an EBIT 大橋隼人, 八釼純治, 坂上裕之 *, 中村信行 レーザー新世代研究センター, 電気通信大学 * 核融合科学研究所 2012 年度原子分子データ応用フォーラムセミナー 2012

More information

Large Plasma Device (LAPD)

Large Plasma Device (LAPD) Large Plasma Device (LAPD) Over 450 Access ports Computer Controlled Data Acquisition Microwave Interferometers Laser Induced Fluorescence DC Magnetic Field: 0.05-4 kg, variable on axis Highly Ionized

More information

Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation

Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation N. Brimhall *, J. C. Painter, M. Turner, S. V. Voronov, R. S. Turley, M. Ware, and J. Peatross Department of Physics

More information

EUV sources using Xe and Sn discharge plasmas

EUV sources using Xe and Sn discharge plasmas INSTITUTE OF PHYSICS PUBLISHING JOURNAL OF PHYSICS D: APPLIED PHYSICS J. Phys. D: Appl. Phys. 37 (2004) 3254 3265 PII: S0022-3727(04)81553-5 EUV sources using Xe and Sn discharge plasmas Vladimir M Borisov,

More information

Energetic neutral and negative ion beams accelerated from spray target irradiated with ultra-short, intense laser pulses

Energetic neutral and negative ion beams accelerated from spray target irradiated with ultra-short, intense laser pulses Energetic neutral and negative ion beams accelerated from spray target irradiated with ultra-short, intense laser pulses Sargis Ter-Avetisyan ELI - Extreme Light Infrastructure Science and Technology with

More information

EUV Reflectivity measurements on Acktar Sample Magic Black

EUV Reflectivity measurements on Acktar Sample Magic Black Report EUV Reflectivity measurements on Acktar Sample Magic Black S. Döring, Dr. K. Mann Laser-Laboratorium Göttingen e.v. October 28, 2011 Contents 1 Introduction 3 2 Setup 3 3 Measurements 4 4 Conclusion

More information

EUV spectroscopy of mass-limited Sn-doped laser microplasmas

EUV spectroscopy of mass-limited Sn-doped laser microplasmas EUV spectroscopy of mass-limited Sn-doped laser microplasmas Simi George, Chiew-Seng Koay, Kazutoshi Takenoshita, Robert Bernath, Moza Al-Rabban a, Christian Keyser b, Vivek Bakshi c, Howard Scott d, &

More information

X-Rays From Laser Plasmas

X-Rays From Laser Plasmas X-Rays From Laser Plasmas Generation and Applications I. C. E. TURCU CLRC Rutherford Appleton Laboratory, UK and J. B. DANCE JOHN WILEY & SONS Chichester New York Weinheim Brisbane Singapore Toronto Contents

More information

Studies of high-repetition-rate laser plasma EUV sources from droplet targets e. turcu 2

Studies of high-repetition-rate laser plasma EUV sources from droplet targets e. turcu 2 Appl. Phys. A 77, 217 221 (2003) DOI: 10.1007/s00339-003-2142-4 Applied Physics A Materials Science & Processing c. keyser 1, g. schriever 1, m. richardson 1, Studies of high-repetition-rate laser plasma

More information