A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source

Size: px
Start display at page:

Download "A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source"

Transcription

1 Introduction and Outline A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source Rainer Lebert, AIXUV Target Features of High Brightness EUV Source LPP Concept to reach Specification Target Laser Parameters Simulations of HB-LPP Tin Source Laser availability Target Issues

2 Target Features of High Brightness EUV Source With EUVL we got used to doses on a field of about 6 cm2 of about 6 mj with a mask illumination of NA= This leads to the convenient etendue of about 2 mm 2 sr and the inconvenient power demand of >200 W at IF. Taking a bright image with sufficient contrast on a CCD camera requires about 1.5 µj per Mega Pixel of photon flux. However, the fraction of the energy usable from the source depends on the intended resolution! For dark field defect scan on mask blanks, the resolution is targeted to about 600 nm. Hence, an image field of about 0.4 mm 2 /MPx and no tight limitation on illumination allows for still using an etendue of more than 0.03 mm 2 sr/mpx. Hence a full mask can be scanned in less than 2 hours with about 20 µw in IF. This can be achieved with 0.15 sr collection from a 100 mw/(2 pi sr) source of 0.5 mm diameter. Targeting 10 nm resolution on the mask reduces image field to 14 µm/mpx diameter

3 Target Features of High Brightness EUV Source A bright image with sufficient contrast requires about 1.5 µj per Mega Pixel Targeting 10 nm resolution on the mask reduces image field to 14 µm/mpx diameter The Task is increased with AIMS like illumination, which brings the etendue demand down to < 2*10-6 / MPx 2 mm 2 sr. However, some 100 mw in IF might be sufficient. With Inspection, where the etendue demand can be slightly relaxed to < 2*10-5 / MPx 2 mm 2 sr, but more than images/mpx 2 are required for 2 h inspection. This requires already > 20 mw on the detector or (under assumptions) 0.2*MPx 2 W at intermediate focus.

4 Consequences from high brightness requirement Collection, sr Source use efficiency is very important with HB source use! inband source power, W/(2 p sr) Collection sr req. power req. Power opt. HVM Use efficiency scales with 1/(Source area), i.e. 1/(source radius)^ Source Diameter, µm

5 Looking for Optima for the applications 2, ,000 Drive Power, INSPECT, W 1,500 1, Drive Power, AIMS, W INSP AIMS mm, 4.6 W 5 13 µm, 467W Source Size, mm

6 High brightness LPP source Process Assumption Matching source etendue to optics with intermediate collection angle of 0.2 to 1 sr and achieving best conversion efficiency leads to most economic source t, because smallest source requires smallest drive power. With maximum target collection of 1 sr, matched source diameter is in the range of 3 30 µm. < 100 µm source diameter is ineffective for discharge plasmas (reason> free path length has to be << diameter) < 10 µm source diameter is difficult to achieve with standard power laser solutions (λ, M 2 ) and focusing compatible with plasma generation (NA). Laser Produced Sources should be discussed Source Size specifications should target 10 µm and reach at least 30 µm

7 How to generate high brightness LPP source Focus Laser to smaller than target diameter e.g. 10 µm High NA focusing: M 2 close to 1 Achieve intensity such as to achieve sufficiently good CE Intensity in the range of W/cm 2 Keep stable target position by stable laser pointing control and stable target position This is achieved, if laser pulse duration is short compared to plasma expansion time Is this possible?

8 Simulated Emission Pulses 1.06 µm, 0.7 ns, x*10 11 W/cm 2, 10 µm Focus Inband Emission, MW/(Half sphere) B6 B5 mj ns Focus Intens CE B B

9 As expected, for small spots, CE peak at higher I and lower CE 1.6% 1.4% 1.2% Inband CE 1.0% 0.8% 0.6% 0.2 ns 0.5 ns 0.7 ns 1 ns 1 ns 0.7 ns 0.4% 0.2% 0.0% 0 5E+11 1E E+12 2E E+12 3E E+12 4E E+12 Intensity, W/cm 2

10 Understanding CE Only I I = % 1.8% 1.6% Focus Diameter and Pulse duration matched. 0.6 ns, 10µm 1.4% 0.7 ns, 20µm CE 1.2% 0.35 ns, 10µm 1 ns, 10µm Poly. 1.0% Too long pulse or focus too small for Pulse duration 0.8% 0.6% 0.2 ns, 20µm Too short pulse or too large focus for Pulse duration 0.4% tau/d =

11 Variation of Intensity and Pulse Duration allows for maximizing brightness No Brightness evaluation, yet. Representative for source Size: Average Charge distribution A9, 1ns, 10.2*10 11 W/cm 2: 0.8 mj < CE = 1.21% A10, 1ns, 20.2*10 11 W/cm mj; < CE = 1.18% B4, 0.7 ns, 9*10 11 W/cm mj: CE = 0.89 % B5, 0.7 ns, 18*10 11 W/cm 2 01 mj: CE = 1.32 %

12 Minimum Spot Size with low <Z> emission A9, 1ns, 10.2*10 11 W/cm 2:v 0.8 mj ;< CE = 1.21% A10, 1ns, 20.2*10 11 W/cm mj; CE = 1.18%

13 Path of solution Material: Tin Target Source Size: 10 µm NA and M² Best Pulse duration: e.g. 0.7 ns Best Intensity e.g. 10*10 11 W/cm² Pulse Energy Total Power M² Pulse Duration Pulse Energy Rep Rate Confidential

14 Laser for high Brightness X-Ray Source is available Diode 1pJ Reg. Amp mj PC Slab 1 25 mj Slab 2 67 mj Slab 3 ~100 mj Slab2 Pump 2b Pump 2a Slab1 Slab amplifier stages 1 and 2: experimental setup Pump 1

15 Beam characterization after slab 62W M² measured with 90/10 method M²x=1.63 M²y <1,5 There is still potential for optimization of beam quality

16 Realization of the MOPA Prototype features housed breadboard full software control of all functions Reg. Amp. Slab I Slab III a and b Slab II

17 Laser Development Plan Available Regenerative amplifier meets target specs for 1 W laser Power and 1 khz repetition rate. 10 mw EUV source already close to AIMS specs. Available Lasers achieve > 200 W Could drive 2 W inband EUV LPP source and 0.25 W IF power. Laser Power Upgrade only by rep-rate increase. Target concept is independent of rep-rate and should be able to support up to MHz, which is 10 W EUV source: Target Cooling is immanent with thermalized bath. Upgrade Development of Regenerative amplifier with thin disk or Innoslab concept (potentially with one amplifier should reach target specs for > 200 W laser Power and 200 khz repetition rate. Upgrade developments and commercialization together with Trumpf, Rofin-Sinar and Edge- Wave Companies envisioned. Close collaborations exist.

18 Summary Laser 209 W average power, 105 mj pulse energy at 2 khz PRF demonstrated A peak power of more than 200 MW is achieved without beam distortion by nonlinear effects or optical damage Contrast ratio better than 2000 : 1 Beam quality in the range of M2 < allows for efficient plasma generation Pulse length can be set from 0.3 ns to 1.5 ns INNOSLAB offers efficient single pass amplification to high average power 200 MW peak power

19 High brightness LPP source Target Assumption With small source demands on beam pointing control and target position increases significantly (estimated < 5 µm lateral and < 20 µm axial) Laser pulses and targets have to be matched. Liquid Jet or liquid droplet target do not achieve sufficient stability and make problems with temporal synchronization and pulse to pulse cross-talk (at 100 khz to 1 MHz) Regenerative target is required; Suggested is liquid tin target (Film target similar to Philips EUV s DPP would require > 200 m/s circ. velocity) Some innovation required for reaching low debris level

20 Droplet target is only stable close to Nozzle; at usable distances the budget is exceeded.

21 LIQUID BATH TARGET is suggested Laser EUV Level Sensor Cooling LIQUID TARGET Temp. Sensors Heating

22 SUMMARY A new source type dedicated for applications with high brightness demand is suggested. Source areas in the range of 10-4 mm 2 seem achievable. This allows for use of up to 1 sr emission and hence, up to 5 % extraction efficiency (0.5 W from 10 W) With available laser and target technology and a strong research and commercial network going onto a development track seems promising. With available laser technology of > 200 W power of lasers with suited parameters source powers of 3 W are in close reach. With > 50 mw of collected power, Brilliances of 500 W / mm 2 sr exceed those of future HVM sources. Development Plan for a factor of > 5 in power is envisioned.

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source 1 Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source D. Nakamura, T. Akiyama, K. Tamaru, A. Takahashi* and T. Okada Graduate School of Information Science and Electrical Engineering,

More information

EUV lithography and Source Technology

EUV lithography and Source Technology EUV lithography and Source Technology History and Present Akira Endo Hilase Project 22. September 2017 EXTATIC, Prague Optical wavelength and EUV (Extreme Ultraviolet) VIS 13.5nm 92eV Characteristics of

More information

Status of EUV Sources for Mask Metrology

Status of EUV Sources for Mask Metrology Status of EUV Sources for Mask Metrology Vivek Bakshi, Ph.D. EUV Litho Inc. 10202 Womack Road, Austin, TX 78748 USA www.euvlitho.com vivek.bakshi@euvlitho.com Outline Background Current Technology Status

More information

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Yezheng Tao*, Mark Tillack, Kevin Sequoia, Russel Burdt, Sam Yuspeh, and Farrokh Najmabadi University of California, San Diego

More information

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source 3rd International EUVL Symposium NOVEMBER 1-4, 2004 Miyazaki, Japan Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source H. Tanaka, A. Matsumoto, K. Akinaga, A. Takahashi

More information

Optimization of laser-produced plasma light sources for EUV lithography

Optimization of laser-produced plasma light sources for EUV lithography page 1 of 17 Optimization of laser-produced plasma light sources for EUV lithography M. S. Tillack and Y. Tao 1 University of California, San Diego Center for Energy Research 1 Currently at Cymer Inc.

More information

High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources *

High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources * High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources * J.D. Hybl**, T.Y. Fan, W.D. Herzog, T.H. Jeys, D.J.Ripin, and A. Sanchez 2008 International Workshop on EUV Lithography

More information

High intensity EUV and soft X-ray X plasma sources modelling

High intensity EUV and soft X-ray X plasma sources modelling High intensity EUV and soft X-ray X plasma sources modelling Sergey V. Zakharov +, Vasily S. Zakharov +,Peter Choi, Alex Yu. Krukovskiy, Vladimir G. Novikov, Anna D. Solomyannaya NANO UV sas EPPRA sas

More information

Evaluation at the intermediate focus for EUV Light Source

Evaluation at the intermediate focus for EUV Light Source Evaluation at the intermediate focus for EUV Light Source Takashi Suganuma, Georg Soumagne, Masato Moriya, Tamotsu Abe, Akira Sumitani, Akira Endo Extreme Ultraviolet Lithography System Development Association

More information

Padraig Dunne, UCD School of Physics Dublin, Ireland.

Padraig Dunne, UCD School of Physics Dublin, Ireland. Padraig Dunne, UCD School of Physics Dublin, Ireland. Contents Zurich Prague Dublin Padova Carl Zeiss Aachen ASML IMEC EPPRA Xtreme ISAN ISAN Progress in on line MLM carbon cleaning Progress in radiative

More information

Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas

Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas Sergey V. Zakharov +, Peter Choi, Vasily S. Zakharov NANO UV sas EPPRA sas + also with RRC Kurchatov Institute,

More information

Laser heating of noble gas droplet sprays: EUV source efficiency considerations

Laser heating of noble gas droplet sprays: EUV source efficiency considerations Laser heating of noble gas droplet sprays: EUV source efficiency considerations S.J. McNaught, J. Fan, E. Parra and H.M. Milchberg Institute for Physical Science and Technology University of Maryland College

More information

High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources *

High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources * High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources * J.D. Hybl**, T.Y. Fan, W.D. Herzog, T.H. Jeys, D.J.Ripin, and A. Sanchez EUV Source Workshop 29 May 2009 * This work

More information

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application EUV light source plasma Tin icrodroplet Main pulse (CO2 laser pulse) Pre-pulse (Nd:YAG laser

More information

Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography

Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography P10 Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography A. Sunahara 1 K. Nishihara 2 A. Sasaki 3 1 Institute for Laser Technology (ILT) 2 Institute of Laser

More information

EUV-Technology with Discharge EUV-Lamp"

EUV-Technology with Discharge EUV-Lamp EUV-Technology with Discharge EUV-Lamp" Rainer Lebert, Larissa Juschkin, Christian Wies, Bernhard Jägle, Manfred Meisen, Ulrich Bieberle, Willi Neff, Juri Barthel, Konstantin Walter, Klaus Bergmann, Fraunhofer

More information

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources A. Hassanein, V. Sizyuk, S.S. Harilal, and T. Sizyuk School of Nuclear Engineering and Center

More information

High Brightness EUV Light Source System Development for Actinic Mask Metrology

High Brightness EUV Light Source System Development for Actinic Mask Metrology High Brightness EUV Light Source System Development for Actinic Mask Metrology Peter Choi, Sergey V. Zakharov, Raul Aliaga-Rossel, Aldrice Bakouboula, Otman Benali, Philippe Bove, Michèle Cau, Grainne

More information

High Brightness EUV Light Source for Actinic Inspection & Microscopy

High Brightness EUV Light Source for Actinic Inspection & Microscopy High Brightness EUV Light Source for Actinic Inspection & Microscopy P. Choi, V.S. Zakharov, S.V. Zakharov, R. Aliaga-Rossel, A. Bakouboula, O. Benali, P. Bove, M. Cau, G. Duffy, O. Iwase, B. Lebert, O.

More information

High Brightness EUV Light Source for Metrology

High Brightness EUV Light Source for Metrology High Brightness EUV Light Source for Metrology Sergey V. Zakharov, Peter Choi, Vasily S. Zakharov EPPRA sas NANO UV sas Panel Discussion: Actinic Defect Inspection Technology for EUV MasksM 3 Remaining

More information

Assessment of Threshold for Nonlinear Effects in Ibsen Transmission Gratings

Assessment of Threshold for Nonlinear Effects in Ibsen Transmission Gratings Assessment of Threshold for Nonlinear Effects in Ibsen Transmission Gratings Temple University 13th & Norris Street Philadelphia, PA 19122 T: 1-215-204-1052 contact: johanan@temple.edu http://www.temple.edu/capr/

More information

A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target

A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target Takeshi Higashiguchi E-mail: higashi@opt.miyazaki-u.ac.jp Keita Kawasaki, Naoto Dojyo, Masaya Hamada, Wataru Sasaki,

More information

Pulsed-power based bright EUV light source for metrology

Pulsed-power based bright EUV light source for metrology Pulsed-power based bright EUV light source for metrology Sergey V. Zakharov NaextStream sas, Buc, France sergey.zakharov@naextstream.com + also with NRC Kurchatov Institute, Moscow, Russia 1 Sources for

More information

Progress in LPP EUV Source Development by Japan MEXT Project

Progress in LPP EUV Source Development by Japan MEXT Project Progress in LPP EUV Source Development by Japan MEXT Project Y. Izawa, N. Miyanaga, H. Nishimura, S. Fujioka, T. Aota, K. Nagai, T. Norimatsu,K. Nishihara, M. Murakami, Y. -G. Kang, M. Nakatsuka, H. Fujita,

More information

Efficient EUV source by use of a micro-target containing tin nanoparticles

Efficient EUV source by use of a micro-target containing tin nanoparticles 2008 International Workshop on EUV Lithography Efficient EUV source by use of a micro-target containing tin nanoparticles Takeshi Higashiguchi higashi@cc.utsunomiya-u.ac.jp Utsunomiya University, Japan

More information

Femtosecond laser microfabrication in. Prof. Dr. Cleber R. Mendonca

Femtosecond laser microfabrication in. Prof. Dr. Cleber R. Mendonca Femtosecond laser microfabrication in polymers Prof. Dr. Cleber R. Mendonca laser microfabrication focus laser beam on material s surface laser microfabrication laser microfabrication laser microfabrication

More information

Nonlinear-optical approach to problem of space debris tracking and removal O. Kulagin, I. Gorbunov

Nonlinear-optical approach to problem of space debris tracking and removal O. Kulagin, I. Gorbunov Nonlinear-optical approach to problem of space debris tracking and removal O. Kulagin, I. Gorbunov Institute of Applied Physics Russian Academy of Sciences, N.Novgorod, Russia Space debris threats and

More information

COST MP0601 Short Wavelength Laboratory Sources

COST MP0601 Short Wavelength Laboratory Sources Background: Short wavelength radiation has been used in medicine and materials studies since immediately after the 1895 discovery of X-rays. The development of synchrotron sources over the last ~25 years

More information

EUV lithography industrialization for HVM

EUV lithography industrialization for HVM EUV lithography industrialization for HVM Michael Lercel Director, Strategic Marketing, Tokyo Outline Slide 2 NXE Roadmap NXE:3400B performance Reticle front-side defectivity EUV source roadmap EUV extendibility

More information

The Second Half Year 2017 PAL-XFEL Call for Proposals

The Second Half Year 2017 PAL-XFEL Call for Proposals The Second Half Year 2017 PAL-XFEL Call for Proposals Summary Information for Submitting Proposals We encourage scientists from all over the world to submit applications for beam time proposal to utilize

More information

EUV Source Developments on Laser-Produced Plasmas using Lithium New Scheme Target

EUV Source Developments on Laser-Produced Plasmas using Lithium New Scheme Target San Diego, 25.11.7-9 EUV Source Developments on Laser-Produced Plasmas using thium New Scheme Target Shuji MIYAMOTO, Sho AMANO, Takahiro INOUE Petru-Edward NICA, Atsushi SHIMOURA Kakyo KAKU, and Takayasu

More information

On the possibility to create a prototype of laser system for space debris movement control on the basis of the 3-meter telescope.

On the possibility to create a prototype of laser system for space debris movement control on the basis of the 3-meter telescope. OJC «RPC «Precision Systems and Instruments», Moscow, Russia A. Alexandrov, V. Shargorodskiy On the possibility to create a prototype of laser system for space debris movement control on the basis of the

More information

Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics

Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics Richard Haight IBM TJ Watson Research Center PO Box 218 Yorktown Hts., NY 10598 Collaborators Al Wagner Pete Longo Daeyoung

More information

X-Rays From Laser Plasmas

X-Rays From Laser Plasmas X-Rays From Laser Plasmas Generation and Applications I. C. E. TURCU CLRC Rutherford Appleton Laboratory, UK and J. B. DANCE JOHN WILEY & SONS Chichester New York Weinheim Brisbane Singapore Toronto Contents

More information

Construction of a 100-TW laser and its applications in EUV laser, wakefield accelerator, and nonlinear optics

Construction of a 100-TW laser and its applications in EUV laser, wakefield accelerator, and nonlinear optics Construction of a 100-TW laser and its applications in EUV laser, wakefield accelerator, and nonlinear optics Jyhpyng Wang ( ) Institute of Atomic and Molecular Sciences Academia Sinica, Taiwan National

More information

Institute for Laser Technology

Institute for Laser Technology Shinsuke Fujioka, Teruyuki Ugomori, Kensuke Yoshida, Chaogang Li, Atsushi Sunahara A, Katsunobu Nishihara, Nozomi Tanaka, Hiroaki Nishimura Institute of Laser Engineering, Osaka University A Institute

More information

Development of a table top TW laser accelerator for medical imaging isotope production

Development of a table top TW laser accelerator for medical imaging isotope production Development of a table top TW laser accelerator for medical imaging isotope production R U I Z, A L E X A N D R O 1 ; L E R A, R O B E R T O 1 ; T O R R E S - P E I R Ó, S A LVA D O R 1 ; B E L L I D O,

More information

Development of Radiation Hydrodynamic code STAR for EUV plasmas. Atsushi Sunahara. Institute for Laser Technology

Development of Radiation Hydrodynamic code STAR for EUV plasmas. Atsushi Sunahara. Institute for Laser Technology Development of Radiation Hydrodynamic code STAR for EUV plasmas Atsushi Sunahara suna@ile.osaka-u.ac.jp Institute for Laser Technology 2013 International Workshop on EUV and Soft x-ray Sources University

More information

Rare-earth plasma extreme ultraviolet sources at nm for next generation semiconductor lithography

Rare-earth plasma extreme ultraviolet sources at nm for next generation semiconductor lithography Rare-earth plasma extreme ultraviolet sources at 6.5-6.7 nm for next generation semiconductor lithography Takeshi Higashiguchi 1 Takamitsu Otsuka 1, Deirdre Kilbane 3, John White 3, Noboru Yugami 1,2,

More information

UC San Diego EUV Lithography Group Progress Report

UC San Diego EUV Lithography Group Progress Report University of California, San Diego UCSD-CER-10-02 UC San Diego EUV Lithography Group Progress Report M. S. Tillack, Y. Tao, F. Najmabadi, L. Carlson, S. Yuspeh, R. Burdt, A. Farkas, N. Shaikh, N. Amin,

More information

The MID instrument.

The MID instrument. The MID instrument International Workshop on the Materials Imaging and Dynamics Instrument at the European XFEL Grenoble, Oct 28/29, 2009 Thomas Tschentscher thomas.tschentscher@xfel.eu Outline 2 History

More information

Impact of high photon densities on AGIPD requirements

Impact of high photon densities on AGIPD requirements Impact of high photon densities on AGIPD requirements Julian Becker University of Hamburg Detector Laboratory new data 1. Heating estimations 2. Confined breakdown 3. Range switching in adjacent pixels

More information

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Advanced Materials Research Center, AMRC, International SEMATECH Manufacturing Initiative, and

More information

EUV Lithography Towards Industrialization

EUV Lithography Towards Industrialization EUV Lithography Towards Industrialization Wim van der Zande, Director of Research, ASML Dublin Meeting November 2014 Slide 2 Agenda EUV benefit and status at customers Towards higher productivity Summary

More information

BETTER DESIGN AND NEW TECHNOLOGIES IMPROVE LASER POWER MEASUREMENT INSTRUMENTATION

BETTER DESIGN AND NEW TECHNOLOGIES IMPROVE LASER POWER MEASUREMENT INSTRUMENTATION BETTER DESIGN AND NEW TECHNOLOGIES IMPROVE LASER POWER MEASUREMENT INSTRUMENTATION Luigi Argenti, Andrea Brinciotti, Flavio Ferretti - Laserpoint s.r.l.- Vimodrone Italy New challenges from High Brightness

More information

Ultrafast Structural Dynamics in Solids Klaus Sokolowski-Tinten

Ultrafast Structural Dynamics in Solids Klaus Sokolowski-Tinten Ultrafast Structural Dynamics in Solids Klaus Sokolowski-Tinten Institut für Experimentelle Physik STI Round-Table Meeting, Hamburg, 22. - 24. Juni 2004 Outline motivation: why short pulses and the XFEL

More information

PD300 PD300-1W PD300-3W PD300-TP

PD300 PD300-1W PD300-3W PD300-TP 1.1.1 Photodiode Power 1.1.1.1 Standard Photodiode 50pW to 3W Very large dynamic range Swivel mount for hard to measure places Comes with filter in / filter out options Patented automatic background subtraction

More information

The Galaxy Viewed at Very Short Time-Scales with the Berkeley Visible Image Tube (BVIT)

The Galaxy Viewed at Very Short Time-Scales with the Berkeley Visible Image Tube (BVIT) The Galaxy Viewed at Very Short Time-Scales with the Berkeley Visible Image Tube (BVIT) Barry Y. Welsh, O.H.W. Siegmund, J. McPhate, D. Rogers & J.V. Vallerga Space Sciences Laboratory University of California,

More information

Properties of High Intensity EUV Micro-Plasma Pulsed Discharge EUV source

Properties of High Intensity EUV Micro-Plasma Pulsed Discharge EUV source Properties of High Intensity EUV Micro-Plasma Pulsed Discharge EUV source P. Choi, S.V. Zakharov, R. Aliaga Rossel, O. Benali, O. Sarroukh, V.S. Zakharov EPPRA NanoUV Abstract EPPRA has developed a unique

More information

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas I. Kambali, G. Atom O Sullivan Indonesia / Atom Vol. Indonesia 4 No. 2 (24) Vol. 47 No. - 2 (24) 7 - Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas I. Kambali * and G. O Sullivan

More information

New Concept of DPSSL

New Concept of DPSSL New Concept of DPSSL - Tuning laser parameters by controlling temperature - Junji Kawanaka Contributors ILS/UEC Tokyo S. Tokita, T. Norimatsu, N. Miyanaga, Y. Izawa H. Nishioka, K. Ueda M. Fujita Institute

More information

Ultrafast laser-plasma sources for 50-fs hard x-ray pulse generation and laser pump x-ray probe measurements of solvated transition metal complexes

Ultrafast laser-plasma sources for 50-fs hard x-ray pulse generation and laser pump x-ray probe measurements of solvated transition metal complexes Ultrafast laser-plasma sources for 50-fs hard x-ray pulse generation and laser pump x-ray probe measurements of solvated transition metal complexes ERL Workshop, Cornell University June 2006 C. Rose-Petruck

More information

Important processes in modeling and optimization of EUV lithography sources

Important processes in modeling and optimization of EUV lithography sources Important processes in modeling and optimization of UV lithography sources T. Sizyuk and A. Hassanein Center for Materials under xtreme nvironment, School of Nuclear ngineering Purdue University, West

More information

Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements

Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements M. S. Tillack, Y. Tao, Y. Ueno*, R. Burdt, S. Yuspeh, A. Farkas, 2 nd TITAN workshop on MFE/IFE common research

More information

Supplemental material for Bound electron nonlinearity beyond the ionization threshold

Supplemental material for Bound electron nonlinearity beyond the ionization threshold Supplemental material for Bound electron nonlinearity beyond the ionization threshold 1. Experimental setup The laser used in the experiments is a λ=800 nm Ti:Sapphire amplifier producing 42 fs, 10 mj

More information

1. Introduction. 2. New approaches

1. Introduction. 2. New approaches New Approaches To An Indium Ion Optical Frequency Standard Kazuhiro HAYASAKA National Institute of Information and Communications Technology(NICT) e-mail:hayasaka@nict.go.jp ECTI200 . Introduction Outline

More information

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources Kazutoshi Takenoshita, Chiew-Seng Koay, Somsak Teerawattansook, & Martin Richardson Laser Plasma Laboratory,

More information

Laser-driven intense X-rays : Studies at RRCAT

Laser-driven intense X-rays : Studies at RRCAT Laser-driven intense X-rays : Studies at RRCAT B. S. Rao Laser Plasma Division Team Effort Principal contributors : Experiment: P. D. Gupta, P. A. Naik, J. A. Chakera, A. Moorti, V. Arora, H. Singhal,

More information

Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source!

Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source! Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source! H. Nishimura 1, H. Matsukuma 1, K. Yoshida 1, T. Hosoda 1, A. Yogo 1,! N. Tanaka 1, S. Fujioka 1, K. Nishihara 1,! A.

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION doi:10.1038/nature10721 Experimental Methods The experiment was performed at the AMO scientific instrument 31 at the LCLS XFEL at the SLAC National Accelerator Laboratory. The nominal electron bunch charge

More information

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine Content EUV lithography: History and status EUV sources- historical perspective: Age of choice Age of Xe Age of Sn Age

More information

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS Chapter 7 EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS Hot dense plasma lasing medium d θ λ λ Visible laser pump Ch07_00VG.ai The Processes of Absorption, Spontaneous Emission, and Stimulated Emission Absorption

More information

High average power ultrafast lasers

High average power ultrafast lasers High average power ultrafast lasers C. J. Saraceno, F. Emaury, O. H. Heckl, C. R. E. Baer, M. Hoffmann, C. Schriber, M. Golling, and U. Keller Department of Physics, Institute for Quantum Electronics Zurich,

More information

Time resolved optical spectroscopy methods for organic photovoltaics. Enrico Da Como. Department of Physics, University of Bath

Time resolved optical spectroscopy methods for organic photovoltaics. Enrico Da Como. Department of Physics, University of Bath Time resolved optical spectroscopy methods for organic photovoltaics Enrico Da Como Department of Physics, University of Bath Outline Introduction Why do we need time resolved spectroscopy in OPV? Short

More information

Microfabricação em materiais poliméricos usando laser de femtossegundos

Microfabricação em materiais poliméricos usando laser de femtossegundos Microfabricação em materiais poliméricos usando laser de femtossegundos Prof. Cleber R. Mendonça http://www.fotonica.ifsc.usp.br University of Sao Paulo - Brazil students 77.000 52.000 undergrad. 25.000

More information

Research Article Development of Laser-Produced Tin Plasma-Based EUV Light Source Technology for HVM EUV Lithography

Research Article Development of Laser-Produced Tin Plasma-Based EUV Light Source Technology for HVM EUV Lithography Physics Research International Volume, Article ID 49495, pages doi:.55//49495 Research Article Development of Laser-Produced Tin Plasma-Based EUV Light Source Technology for HVM EUV Lithography Junichi

More information

Ultrafast Dynamics and Single Particle Spectroscopy of Au-CdSe Nanorods

Ultrafast Dynamics and Single Particle Spectroscopy of Au-CdSe Nanorods Supporting Information Ultrafast Dynamics and Single Particle Spectroscopy of Au-CdSe Nanorods G. Sagarzazu a, K. Inoue b, M. Saruyama b, M. Sakamoto b, T. Teranishi b, S. Masuo a and N. Tamai a a Department

More information

stabilized 10-fs lasers and their application to laser-based electron acceleration

stabilized 10-fs lasers and their application to laser-based electron acceleration Carrier-envelope envelope-phase-stabilized stabilized sub-10 10-fs lasers and their application to laser-based electron acceleration L. Veisz, E. Goulielmakis, A. Baltuška, and F. Krausz Vienna University

More information

Modification of optical fibers using femtosecond laser irradiation

Modification of optical fibers using femtosecond laser irradiation Modification of optical fibers using femtosecond laser irradiation Hans G. Limberger Advanced Photonics Laboratory Swiss Federal Institute of Technology CH-1015 Lausanne, Switzerland Hans.limberger@epfl.ch

More information

New 1-Micron Laser Sources High Brightness Tools for Industrial Applications

New 1-Micron Laser Sources High Brightness Tools for Industrial Applications New 1-Micron Laser Sources High Brightness Tools for Industrial Applications Manfred Berger, II-VI Development POLLASNET, Warszawa Dec. 11, 2006 Content 1. Introduction 2. Beamquality & Brilliance 3. Nd:YAG

More information

Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation

Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation N. Brimhall *, J. C. Painter, M. Turner, S. V. Voronov, R. S. Turley, M. Ware, and J. Peatross Department of Physics

More information

Utsunomiya University Experiments, September - November 2011

Utsunomiya University Experiments, September - November 2011 Colm O Gorman 1, Thomas Cummins 1, Takamitsu Otsuka 2, Noboru Yugami 2,4,Weihua Jiang 5, Akira Endo 6, Bowen Li 1, Padraig Dunne 1,Emma Sokell 1, Gerry O Sullivan 1 and Takeshi Higashiguchi 2,4 Utsunomiya

More information

Establishment and Observation of Space Debris Laser Ranging

Establishment and Observation of Space Debris Laser Ranging Establishment and Observation of Space Debris Laser Ranging Zhang Zhongping, Zhang Haifeng, Wu Zhibo, Li Pu, Meng Wendong, Chen Juping, Chen WanZhen Shanghai Observatory, Chinese Academy of Sciences Contents:

More information

Impact of high photon densities on AGIPD requirements

Impact of high photon densities on AGIPD requirements Impact of high photon densities on AGIPD requirements Julian Becker University of Hamburg Detector Laboratory 1. Si-type influence on charge collection time 2. Measurements on charge collection time 3.

More information

Harmonic Generation for Photoionization Experiments Christian J. Kornelis Physics REU Kansas State University

Harmonic Generation for Photoionization Experiments Christian J. Kornelis Physics REU Kansas State University Harmonic Generation for Photoionization Experiments Christian J. Kornelis Physics REU Kansas State University The Basic Setup for the KLS Photoionization Experiment V. Kumarappan Femtosecond Pump-Probe

More information

Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target

Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target M. Vrbova 1, P. Vrba 2, S.V. Zakharov 3, V.S. Zakharov 4, M. Müller 5, D. Pánek 1, T. Parkman 1, P.Brůža 1 1 Czech Technical

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Supplementary Information Speckle-free laser imaging using random laser illumination Brandon Redding 1*, Michael A. Choma 2,3*, Hui Cao 1,4* 1 Department of Applied Physics, Yale University, New Haven,

More information

Introduction Fundamentals of laser Types of lasers Semiconductor lasers

Introduction Fundamentals of laser Types of lasers Semiconductor lasers Introduction Fundamentals of laser Types of lasers Semiconductor lasers Is it Light Amplification and Stimulated Emission Radiation? No. So what if I know an acronym? What exactly is Light Amplification

More information

THz field strength larger than MV/cm generated in organic crystal

THz field strength larger than MV/cm generated in organic crystal SwissFEL Wir schaffen Wissen heute für morgen 1 2 C. Vicario 1, R. Clemens 1 and C. P. Hauri 1,2 THz field strength larger than MV/cm generated in organic crystal 10/16/12 Workshop on High Field THz science

More information

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools Stephen F. Horne, Matthew M. Besen, Matthew J. Partlow, Donald K. Smith, Paul A. Blackborow, Deborah S. Gustafson Agenda Background

More information

Laser Ablation for Chemical Analysis: 50 Years. Rick Russo Laser Damage Boulder, CA September 25, 2012

Laser Ablation for Chemical Analysis: 50 Years. Rick Russo Laser Damage Boulder, CA September 25, 2012 Laser Ablation for Chemical Analysis: 50 Years Rick Russo Lawrence Berkeley National Laboratory Applied Spectra, Inc 2012 Laser Damage Boulder, CA September 25, 2012 Laser Ablation for Chemical Analysis:

More information

Applications of SLM in Laser Surface Engineering

Applications of SLM in Laser Surface Engineering Applications of SLM in Laser Surface Engineering B. Neuenschwander, T. Kramer, S. Remund Bern Bern University of of Applied Sciences / Institute for for Applied Laser, Laser, Photonics and and Surface

More information

Experimental Measurements of the ORION Photoinjector Drive Laser Oscillator Subsystem

Experimental Measurements of the ORION Photoinjector Drive Laser Oscillator Subsystem Experimental Measurements of the ORION Photoinjector Drive Laser Oscillator Subsystem D.T Palmer and R. Akre Laser Issues for Electron RF Photoinjectors October 23-25, 2002 Stanford Linear Accelerator

More information

EUV Reflectivity measurements on Acktar Sample Magic Black

EUV Reflectivity measurements on Acktar Sample Magic Black Report EUV Reflectivity measurements on Acktar Sample Magic Black S. Döring, Dr. K. Mann Laser-Laboratorium Göttingen e.v. October 28, 2011 Contents 1 Introduction 3 2 Setup 3 3 Measurements 4 4 Conclusion

More information

CHARACTERISTICS OF ION EMISSION FROM CO 2 /Nd:YAG LPP WITH TIN TARGET

CHARACTERISTICS OF ION EMISSION FROM CO 2 /Nd:YAG LPP WITH TIN TARGET CHARACTERISTICS OF ION EMISSION FROM CO 2 /Nd:YAG LPP WITH TIN TARGET Akihiko Takahashi 1, Hiroki Tanaka 2, Atsushi Matsumoto 2, Yuuki Hashimoto 2, Kiichiro Uchino 3, Tatsuo Okada 2 1 Department of Health

More information

LASER-COMPTON SCATTERING AS A POTENTIAL BRIGHT X-RAY SOURCE

LASER-COMPTON SCATTERING AS A POTENTIAL BRIGHT X-RAY SOURCE Copyright(C)JCPDS-International Centre for Diffraction Data 2003, Advances in X-ray Analysis, Vol.46 74 ISSN 1097-0002 LASER-COMPTON SCATTERING AS A POTENTIAL BRIGHT X-RAY SOURCE K. Chouffani 1, D. Wells

More information

Detection of Single Photon Emission by Hanbury-Brown Twiss Interferometry

Detection of Single Photon Emission by Hanbury-Brown Twiss Interferometry Detection of Single Photon Emission by Hanbury-Brown Twiss Interferometry Greg Howland and Steven Bloch May 11, 009 Abstract We prepare a solution of nano-diamond particles on a glass microscope slide

More information

THz Electron Gun Development. Emilio Nanni 3/30/2016

THz Electron Gun Development. Emilio Nanni 3/30/2016 THz Electron Gun Development Emilio Nanni 3/30/2016 Outline Motivation Experimental Demonstration of THz Acceleration THz Generation Accelerating Structure and Results Moving Forward Parametric THz Amplifiers

More information

Short Wavelength Regenerative Amplifier FELs (RAFELs)

Short Wavelength Regenerative Amplifier FELs (RAFELs) Short Wavelength Regenerative Amplifier FELs (RAFELs) Neil Thompson, David Dunning ASTeC, Daresbury Laboratory, Warrington UK Brian McNeil Strathclyde University, Glasgow, UK Jaap Karssenberg & Peter van

More information

Path to a direct-drive ignition facility for fusion energy research that requires substantially less laser energy

Path to a direct-drive ignition facility for fusion energy research that requires substantially less laser energy Path to a direct-drive ignition facility for fusion energy research that requires substantially less laser energy NRL Laser Fusion High Average Power Laser Program Workshop Lawrence Livermore National

More information

Study on Bose-Einstein Condensation of Positronium

Study on Bose-Einstein Condensation of Positronium Study on Bose-Einstein Condensation of Positronium K. Shu 1, T. Murayoshi 1, X. Fan 1, A. Ishida 1, T. Yamazaki 1,T. Namba 1,S. Asai 1, K. Yoshioka 2, M. Kuwata-Gonokami 1, N. Oshima 3, B. E. O Rourke

More information

Performance Metrics of Future Light Sources. Robert Hettel, SLAC ICFA FLS 2010 March 1, 2010

Performance Metrics of Future Light Sources. Robert Hettel, SLAC ICFA FLS 2010 March 1, 2010 Performance Metrics of Future Light Sources Robert Hettel, SLAC ICFA FLS 2010 March 1, 2010 http://www-ssrl.slac.stanford.edu/aboutssrl/documents/future-x-rays-09.pdf special acknowledgment to John Corlett,

More information

Confocal Microscopy Imaging of Single Emitter Fluorescence and Hanbury Brown and Twiss Photon Antibunching Setup

Confocal Microscopy Imaging of Single Emitter Fluorescence and Hanbury Brown and Twiss Photon Antibunching Setup 1 Confocal Microscopy Imaging of Single Emitter Fluorescence and Hanbury Brown and Twiss Photon Antibunching Setup Abstract Jacob Begis The purpose of this lab was to prove that a source of light can be

More information

BEAM PROPAGATION FOR THE LASER INERTIAL CONFINEMENT FUSION-FISSION ENERGY ENGINE. S. C. Wilks, B. I. Cohen, J. F. Latkowski, and E. A.

BEAM PROPAGATION FOR THE LASER INERTIAL CONFINEMENT FUSION-FISSION ENERGY ENGINE. S. C. Wilks, B. I. Cohen, J. F. Latkowski, and E. A. BEAM PROPAGATION FOR THE LASER INERTIAL CONFINEMENT FUSION-FISSION ENERGY ENGINE S. C. Wilks, B. I. Cohen, J. F. Latkowski, and E. A. Williams Lawrence Livermore National Laboratory L-211, Livermore, CA,

More information

Femtosecond laser applied to biophotonics. Prof. Cleber R. Mendonca

Femtosecond laser applied to biophotonics. Prof. Cleber R. Mendonca Femtosecond laser applied to biophotonics Prof. Cleber R. Mendonca introduction short pulse duration ö high intensity (even at low energy) introduction how short is a femtosecond pulse? 1fs= 10-15 s introduction

More information

SIMULTANEOUS VELOCITY AND CONCENTRATION MEASUREMENTS OF A TURBULENT JET MIXING FLOW

SIMULTANEOUS VELOCITY AND CONCENTRATION MEASUREMENTS OF A TURBULENT JET MIXING FLOW Proceedings of International Symposium on Visualization and Image in Transport Phenomena, Turkey, -9 Oct. SIMULTANEOUS VELOCITY AND CONCENTRATION MEASUREMENTS OF A TURBULENT JET MIXING FLOW Hui HU a, Tetsuo

More information

Waseda University. Design of High Brightness Laser-Compton Light Source for EUV Lithography Research in Shorter Wavelength Region

Waseda University. Design of High Brightness Laser-Compton Light Source for EUV Lithography Research in Shorter Wavelength Region Waseda University Research Institute for Science and Engineering Design of High Brightness Laser-Compton Light Source for EUV Lithography Research in Shorter Wavelength Region Research Institute for Science

More information

Jitter measurement by electro-optical sampling

Jitter measurement by electro-optical sampling Jitter measurement by electro-optical sampling VUV-FEL at DESY - Armin Azima S. Duesterer, J. Feldhaus, H. Schlarb, H. Redlin, B. Steffen, DESY Hamburg K. Sengstock, Uni Hamburg Adrian Cavalieri, David

More information

Fiber-Optics Group Highlights of Micronova Department of Electrical and Communications Engineering Helsinki University of Technology

Fiber-Optics Group Highlights of Micronova Department of Electrical and Communications Engineering Helsinki University of Technology Highlights of 2004 Micronova Department of Electrical and Communications Engineering Micronova Seminar 3 December 2004 Group Leader: Hanne Ludvigsen Postdoctoral researcher: Goëry Genty Postgraduate students:

More information

Workshop 2: Acoustic Output Measurements

Workshop 2: Acoustic Output Measurements 37 th th UIA Symposium, Washington DC Workshop 2: Acoustic Output Measurements Mark Hodnett Senior Research Scientist Quality of Life Division National Physical Laboratory Teddington Middlesex, UK Workshop

More information