Design of Attenuated Phase-shift shift Mask with ITO Absorber for Extreme Ultraviolet Lithography

Size: px
Start display at page:

Download "Design of Attenuated Phase-shift shift Mask with ITO Absorber for Extreme Ultraviolet Lithography"

Transcription

1 MA-P18 7 EUVL Symposium Design of Attenuated Phase-shift shift Mask with Absorber for Extreme Ultraviolet Lithography Hee Young Kang and Chang Kwon Hwangbo Department of Physics, Inha University, Incheon Republic of KOREA hwangbo@inha.ac.kr

2 Attenuated Phase Shift Masks * Condition of Attenuated Phase Shift Mask (Att( Att-PSM): * Two types of Att-PSMs PSMs: Att-PSM in EUV: (R ) EUV <.1 ΔΦ EUV =18 o ARC and high contrast in DUV: DUV contrast > 85 % (R DUV <5%) Δt air > 8 nm Absorber Absorber [Mo/] 4 Δt air > 1 nm Additive-type type Subtractive-type type

3 Geometrical shadow effects A. M. Goethalsa et al., SPIE 6517, (7) EUVL projection optics is telecentric at the image side, but non-telecentric at the mask side because of oblique illumination. The thickness of absorber in additive-type PSM structure is generally over 8 nm. The illumination beam is then shadowed by the edge of the absorber, and as a result, the printed patterns are shifted and biased. The subtractive-type PSM structure should be formed by etching into the top multilayer. It may have a drawback for the geometric shadow effect due to the depth of the etched layers of about 1 nm.

4 Purpose Requirements of Att-PSM in EUV Att-PSM in EUV: (R ) EUV <.1 ΔΦ EUV =18 o ARC and high contrast in DUV: DUV Contrast > 9 % ( R DUV < 5% ) Small height difference for less shadow effect 1. The mask structure should not only perform 18 phase shift with low reflectance ratio (R <.1) at 13.5nm wavelength, but also have high inspection contrast (> 9%) at 57nm wavelength.. The total thickness of the absorber stacks should be retained thin enough to meet the stack height requirement to prevent the geometric shadow effect. 3. We design a hybrid-type EUV Att-PSM based on a Fabry Perot interferometer. The reflectance ratio between the absorber stack and multilayer mirror should be tuned by choosing different insertion position and thickness of spacer.

5 Design of Hybrid-type Att-PSM R 1, Φ 1 R, Φ Attenuator Additive type [Mo/] 4 pairs R, Φ R 1, Φ 1 Subtractive type Conditions for Att-PSM - ΔΦ=Φ 1 -Φ =18 - R <.1 It have a drawback for the geometric shadow effect due to high height difference. Ru /[Mo/] 4 Air layer Spacer () R 1, Φ 1 Spacer stack Attenuator R, Φ Absorber stack [Mo/] 4 Hybrid-type Att-PSM (HPSM) The spacer stack should have high reflectance (R 1 ) as well as should be able to control the reflection phase Φ 1 in EUV. The insertion position and thickness of the spacer in the Fabry-Perot filter enable to vary R 1 and Φ 1. Conditions for hybrid-type Att-PSM - ΔΦ=Φ 1 -Φ =18, R <.1 at EUV range - Minimum height difference (for minimizing shadow effect)

6 mulation condition * Optical constants 13.5 nm 57 nm material n k n k Mo O Ru The EUV exposure conditions in the simulation are 13.5 nm wavelength, s-polarization, and 6 degree incidence angle. (R 1 and R : Reflectance of the spacer stack and the absorber stack, Φ 1 and Φ : reflection phase of the spacer stack and the absorber stack) The thicknesses of Mo and in the multilayer are.8 nm and 4.15 nm, respectively. The thickness of Ru capping layer is nm. R 1, Φ 1 R, Φ R 1, Φ 1 R, Φ Ru ( nm) Air layer Ru ( nm) Ru Air layer /[Mo/] 4 [Mo/] 4 /[Mo/] 4 Spacer () [Mo/] 4 Spacer stack Absorber stack Additive-type type Att-PSM Spacer stack Absorber stack Hybrid-type Att-PSM (HPSM)

7 Indium tin oxide () layer at EUV wavelength Determination of optical constants of (Indium tin oxide) at 13.5 nm : In Sn. O 3.4 [Density : 7.14 g/cm 3 (film)] N(ω ) = 1 δ(ω) + i β(ω) r λ δ( ω ) = [ π r λ β( ω ) = [ π N ρ A m In N ρ A m In In In f 1 In f In r λ ( ω ) AF ( In ) + π r λ ( ω ) AF ( In) + π N ρ A m Sn N ρ A m Sn Sn Sn f 1 Sn f Sn r λ ( ω ) AF ( Sn ) + π r λ ( ω ) AF ( Sn ) + π N ρ A m O N ρ A m O O O f f 1 O O ( ω ) AF ( O )] / total ( ω ) AF ( O )] / total AF AF Ν (ω): Optical f (ω): Real scattering form factor f constant (ω): Imaginary scattering form factor r :Classical electron 1 radius [ ρ :Mass density of film [ g / cm ] 15 m ] Calculation of thickness N A m : ω : Frequency λ : 3 : Avogadro' s number [6. 1 atoms / mol ] Atomic mass [ AF : Atomic g] of light [ Hz ] Wavelength of light [ nm ] Fraction (for determination reflectance R and reflection phase Φ at 13.5 nm) Re [Y] (19.68 nm) (73.88 nm) [Mo/] 4 pairs Im [Y] 57 nm wavelength [Mo/] 4 pairs (19.68 nm) (73.88 nm) R δ=.781, β=.678 n=.9188, k=.678 = Y Y Y : admittance Y air : (1.,.) H. A. Macleod, Thin-Film Optical Filters, 3rd ed. (IoP, Bristol, UK, 1). Reflectance : R DUV (%) nm w avelength R eflectance (% ) Thickness of absorber (nm )

8 Design of Additive Att-PSM with [] absorber Reflectivity : R E E Absorber : (71.8 nm) Reflectivity Phase shift Phase shift : ΔΦ=Φ 1Ε -Φ Ε (degree) 1. At 13.5 nm wavelength ΔΦ = (R ) EUV =.3. DUV Contrast = nm 3. Height difference min : 71.8 nm Thickness of absorber (nm) Height difference (or thickness of air layer) 71.8 nm [Mo/] 4 Contrast (%) (71.8 nm) Additive-type Att-PSM Wavelength (nm)

9 Basic principle for design of hybrid type Att-PSM (HPSM) - for Extreme Ultraviolet Lithography R 1, Φ 1 R, Φ Fabry-Perot interferometer Φ R Ru Air layer Attenuator () Φ R R Front /[Mo/] 4 Spacer () [Mo/] 4 Phase- control for EUVL Spacer = π φ n d S λ S Spacer stack Absorber stack Hybrid type Att-PSM R Rear Pochie Yeh, Optical Waves in Layered Media, (John Wiley & Sons, 1988). The phase-control of the spacer stack can be explained by a simplified Fabry-Perot filter. (R Front : reflectance for the front mirrors, R Rear : reflectance for the rear mirrors, n S : refractive index of the spacer, d S : thickness of the spacer) The changes reflection phase Φ R of the filter varies as the optical phase thickness (φ) of the spacer increases for the different reflectance values of R Front and R Rear

10 Reflectance and reflectance phase Φ 1 of spacer stack - for Extreme Ultraviolet Lithography 1 36 Reflectance : R 1 (%) R 1 7% Position of spacer Top Middle Bottom Reflection Phase : Φ 1 (degree) Position of spacer Top Middle Bottom Thickness of spacer : (nm) Thickness of spacer : (nm) R 1 exhibits high reflectance (1) at the multiple of λ/ optical thickness of the spacer regardless of the insertion position, () when the spacer is coated as the first layer on the substrate before the Mo/ multilayer stack is coated or (3) as the last layer after the Mo/ multilayer stack. The sum of the reflection phase Φ R of the filter and the reflection phase Φ air of the air layer is the reflection phase Φ 1 of the spacer stack. (Φ 1 =Φ R +Φ air ) Phase shift is defined as the difference between the reflection phase Φ 1 and Φ. (ΔΦ=Φ 1 -Φ )

11 Bottom-type type HPSM Reflectivity : R Absorber : (73.88 nm) Reflectivity Phase shift Thickness of spacer (nm) [Mo/] Height difference (or thickness of air layer) 65.1 nm The thickness of spacer(.5nm) 4.11 nm 9 Phase shift : ΔΦ=Φ 1 -Φ (degree) 1. At 13.5 nm wavelength Contrast (%) The thickness of spacer(63.6nm) ΔΦ = (R ) EUV =.5. DUV Contrast = 57 nm 3. Height difference min : 4.11 nm (73.88 nm) Hybrid-type Att-PSM (Bottom) Wavelength (nm)

12 Middle-type HPSM Reflectivity : R Absorber : (71.35 nm) Reflectivity Phase shift Thickness of spacer (nm) [Mo/] Height difference (or thickness of air layer) nm The thickness of spacer(.1nm) 3.91 nm 9 Phase shift : ΔΦ=Φ 1 -Φ (degree) 1. At 13.5 nm wavelength Contrast (%) The thickness of spacer(61.9nm) ΔΦ = (R ) EUV =.4. DUV Contrast = nm 3. Height difference min : 3.91 nm (71.35 nm) Hybrid-type Att-PSM (Middle) Wavelength (nm)

13 Top-type HPSM Reflectivity : R Absorber : (71.17 nm) Reflectivity Phase shift R 1E > 7% Thickness of spacer (nm) Height difference (or thickness of air layer) nm nm [Mo/] 4 The thickness of spacer(.1nm) 9 Phase shift : ΔΦ=Φ 1 -Φ (degree) 1. At 13.5 nm wavelength Contrast (%) The thickness of spacer(13.51nm) ΔΦ = (R ) EUV =.3. DUV Contrast = nm 3. Height difference min : nm (71.17 nm) Hybrid-type Att-PSM (Top) Wavelength (nm)

14 Summary of various designs Types of Att-PSM Absorber ΔΦ=Φ 1 -Φ (at 13.5nm) R (at 13.5nm) DUV Contrast (@ 57nm) Height difference Degree of difficulty in fabrication Additive (71.8 nm) % 71.8 nm easy Bottom spacer (73.88 nm) % 4.11 nm difficult Hybrid Middle spacer (71.35 nm) % 3.91 nm difficult Top spacer (71.17 nm) % nm easy Att-PSMs perform 18 phase shift with low reflectance ratio (R E E <.1) at EUV wavelength, as well as have high inspection contrast (> 9%) at deep ultraviolet (DUV) wavelength. The structures with absorber have lower height difference than the existing structure with TaN absorber, the thickness of which is greater than 8 nm. The bottom- and the middle-type HPSM have small height difference. Additive-type Att-PSM and top HPSM may have advantages in fabrication.

15 Conclusion We have designed two types attenuated phase shift mask with absorber. The hybrid-type design work has been processed in the way that 18 phase shift and attenuated reflectance ratio for EUV are matched by the principle of the Fabry-Perot interferometer. The results show that the mask structures not only perform 18 phase shift with low reflectance ratio (R <.1) at EUV wavelength, but also have high inspection contrast (> 9%) at deep ultraviolet (DUV) wavelength. Height difference and degree of difficulty in fabrication for various Att-PSMs Att-PSMs Type Hybrid-type height difference (Shadow effect) fabrication bottom-spacer Small difficult middle-spacer Small difficult top-spacer medium easy Additive-type large easy The top HPSM with absorber has advantages in shadow effect and fabrication. Further researches on various spacer materials are needed.

Measurement of EUV scattering from Mo/Si multilayer mirrors

Measurement of EUV scattering from Mo/Si multilayer mirrors Measurement of EUV scattering from Mo/Si multilayer mirrors N. Kandaka, T. Kobayashi, T. Komiya, M. Shiraishi, T. Oshino and K. Murakami Nikon Corp. 3 rd EUVL Symposium Nov. 2-4 2004 (Miyazaki, JAPAN)

More information

Determination of Optical Constants of Thin Films in Extreme Ultraviolet Wavelength Region by an Indirect Optical Method

Determination of Optical Constants of Thin Films in Extreme Ultraviolet Wavelength Region by an Indirect Optical Method Journal of the Optical Society of Korea Vol. 17, No. 1, February 013, pp. 38-43 DOI: http://dx.doi.org/10.3807/josk.013.17.1.038 Determination of Optical Constants of Thin Films in Extreme Ultraviolet

More information

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH High NA the Extension Path of EUV Lithography Dr. Tilmann Heil, Carl Zeiss SMT GmbH Introduction This talk is about resolution. Resolution λ = k 1 NA High-NA NA 0.33 0.4 0.5 0.6 Resolution @ k1=0.3 single

More information

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Manish Chandhok, a Heidi Cao, a Wang Yueh, a Eric Gullikson, b Robert Brainard, c Stewart Robertson c a Intel Corporation,

More information

We published the text from the next page.

We published the text from the next page. Title:Shedding light on EUV mask inspection Authors:Kazunori Seki, Karen Badger, Emily Gallagher, Toshio Konishi, Gregory McIntyre Publisher:Photomask Japan 2012(SPIE) Citation:Kazunori Seki, Karen Badger,

More information

Calculating Thin Film Stack Properties. Polarization Properties of Thin Films

Calculating Thin Film Stack Properties. Polarization Properties of Thin Films Lecture 6: Thin Films Outline 1 Thin Films 2 Calculating Thin Film Stack Properties 3 Polarization Properties of Thin Films 4 Anti-Reflection Coatings 5 Interference Filters Christoph U. Keller, Utrecht

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Calculating Thin Film Stack Properties

Calculating Thin Film Stack Properties Lecture 5: Thin Films Outline 1 Thin Films 2 Calculating Thin Film Stack Properties 3 Fabry-Perot Tunable Filter 4 Anti-Reflection Coatings 5 Interference Filters Christoph U. Keller, Leiden University,

More information

and the radiation from source 2 has the form. The vector r points from the origin to the point P. What will the net electric field be at point P?

and the radiation from source 2 has the form. The vector r points from the origin to the point P. What will the net electric field be at point P? Physics 3 Interference and Interferometry Page 1 of 6 Interference Imagine that we have two or more waves that interact at a single point. At that point, we are concerned with the interaction of those

More information

Chapter 7. Solar Cell

Chapter 7. Solar Cell Chapter 7 Solar Cell 7.0 Introduction Solar cells are useful for both space and terrestrial application. Solar cells furnish the long duration power supply for satellites. It converts sunlight directly

More information

N M O 0 1 Q P. (26.2)

N M O 0 1 Q P. (26.2) Astronomy 03/403, all 999 6 Lecture, December 999 6 A single vacuum-dielectric interface Practical optical systems always involve lots of vacuum-dielectric interfaces, so we should use the formalism above

More information

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y T u t o r (Summer 1994) Swing Curves Chris A. Mack, FINLE Technologies, Austin, Texas In the last edition of this column, we saw that exposing a photoresist involves the propagation

More information

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source 1 Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source D. Nakamura, T. Akiyama, K. Tamaru, A. Takahashi* and T. Okada Graduate School of Information Science and Electrical Engineering,

More information

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 2th Annual BACUS Symposium on Photomask Technology, SPIE Vol. 67- and is made available

More information

Enhanced performance of microbolometer. using coupled feed horn antenna

Enhanced performance of microbolometer. using coupled feed horn antenna Enhanced performance of microbolometer using coupled feed horn antenna Kuntae Kim*,a, Jong-Yeon Park*, Ho-Kwan Kang*, Jong-oh Park*, Sung Moon*, Jung-ho Park a * Korea Institute of Science and Technology,

More information

Lecture 14 Advanced Photolithography

Lecture 14 Advanced Photolithography Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes

More information

Multilayer Interference Coating, Scattering, Diffraction, Reflectivity

Multilayer Interference Coating, Scattering, Diffraction, Reflectivity Multilayer Interference Coating, Scattering, Diffraction, Reflectivity mλ = 2d sin θ (W/C, T. Nguyen) Normal incidence reflectivity 1..5 1 nm MgF 2 /Al Si C Pt, Au 1 ev 1 ev Wavelength 1 nm 1 nm.1 nm Multilayer

More information

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process John C. Lam, Alexander Gray n&k Technology, Inc., Santa Clara, CA ABSTRACT Critical dimension (CD) metrology

More information

Lecture 2: Thin Films. Thin Films. Calculating Thin Film Stack Properties. Jones Matrices for Thin Film Stacks. Mueller Matrices for Thin Film Stacks

Lecture 2: Thin Films. Thin Films. Calculating Thin Film Stack Properties. Jones Matrices for Thin Film Stacks. Mueller Matrices for Thin Film Stacks Lecture 2: Thin Films Outline Thin Films 2 Calculating Thin Film Stack Properties 3 Jones Matrices for Thin Film Stacks 4 Mueller Matrices for Thin Film Stacks 5 Mueller Matrix for Dielectrica 6 Mueller

More information

Table of Contents. Foreword... Jörge DE SOUSA NORONHA. Introduction... Michel BRILLOUËT

Table of Contents. Foreword... Jörge DE SOUSA NORONHA. Introduction... Michel BRILLOUËT Table of Contents Foreword... Jörge DE SOUSA NORONHA Introduction... Michel BRILLOUËT xi xvii Chapter 1. Photolithography... 1 Philippe BANDELIER, Anne-Laure CHARLEY and Alexandre LAGRANGE 1.1. Introduction...

More information

Measurement of the Complex Index of Refraction for UO x in the Extreme Ultraviolet

Measurement of the Complex Index of Refraction for UO x in the Extreme Ultraviolet Measurement of the Complex Index of Refraction for UO x in the Extreme Ultraviolet Heidi Dumais Department of Physics and Astronomy, Brigham Young University Abstract - The reflectance and transmittance

More information

Course 2: Basic Technologies

Course 2: Basic Technologies Course 2: Basic Technologies Part II: X-ray optics What do you see here? Seite 2 wavefront distortion http://www.hyperiontelescopes.com/performance12.php http://astronomy.jawaid1.com/articles/spherical%20ab

More information

Optical Proximity Correction

Optical Proximity Correction Optical Proximity Correction Mask Wafer *Auxiliary features added on mask 1 Overlay Errors + + alignment mask wafer + + photomask plate Alignment marks from previous masking level 2 (1) Thermal run-in/run-out

More information

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source Eric Gullikson Lawrence Berkeley National Laboratory 1 Reflectometry and Scattering Beamline (ALS 6.3.2) Commissioned Fall 1994

More information

Chapter 10. Interference of Light

Chapter 10. Interference of Light Chapter 10. Interference of Light Last Lecture Wave equations Maxwell equations and EM waves Superposition of waves This Lecture Two-Beam Interference Young s Double Slit Experiment Virtual Sources Newton

More information

3.1 The Plane Mirror Resonator 3.2 The Spherical Mirror Resonator 3.3 Gaussian modes and resonance frequencies 3.4 The Unstable Resonator

3.1 The Plane Mirror Resonator 3.2 The Spherical Mirror Resonator 3.3 Gaussian modes and resonance frequencies 3.4 The Unstable Resonator Quantum Electronics Laser Physics Chapter 3 The Optical Resonator 3.1 The Plane Mirror Resonator 3. The Spherical Mirror Resonator 3.3 Gaussian modes and resonance frequencies 3.4 The Unstable Resonator

More information

Actinic review of EUV masks: First results from the AIMS EUV system integration

Actinic review of EUV masks: First results from the AIMS EUV system integration Invited Paper Actinic review of EUV masks: First results from the AIMS EUV system integration Markus R. Weiss* a, Dirk Hellweg a, Jan Hendrik Peters b, Sascha Perlitz b, Anthony Garetto b, Michael Goldstein

More information

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application EUV light source plasma Tin icrodroplet Main pulse (CO2 laser pulse) Pre-pulse (Nd:YAG laser

More information

Holographic method for detecting amplitude and phase-shift errors and features in EUV ML reticle blanks

Holographic method for detecting amplitude and phase-shift errors and features in EUV ML reticle blanks Holographic method for detecting amplitude and phase-shift errors and features in EUV ML reticle blanks David Nijkerk, Norbert Koster, Eddy van Brug and Diederik Maas* TNO Science and Industry, Stieltjesweg

More information

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION Principles and Applications DAVID ATTWOOD UNIVERSITY OF CALIFORNIA, BERKELEY AND LAWRENCE BERKELEY NATIONAL LABORATORY CAMBRIDGE UNIVERSITY PRESS Contents

More information

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT Photolithography Evolution 1 : Evolution 2 Photomasks Substrates: Type : thermal expansion Chrome Pellicles Mask: OPC and PSM Fabrication: E-Beam or Laser 3 Photomask Information Websites: http://www.photronics.com/internet/corpcomm/publications/basics101/basics.

More information

Chromeless Phase Lithography (CPL)

Chromeless Phase Lithography (CPL) Chromeless Phase Lithography (CPL) Chromeless Phase Lithography or CPL is a recent development in the area of phase shifting technology that is extending the perceived k 1 limits and has the potential

More information

EUV ablation. C. Liberatore1,2, A. Bartnik5, K. Mann4, M. Müller4, L. Pina2, L. Juha3, J. J. Rocca6, A. Endo1, T. Mocek1

EUV ablation. C. Liberatore1,2, A. Bartnik5, K. Mann4, M. Müller4, L. Pina2, L. Juha3, J. J. Rocca6, A. Endo1, T. Mocek1 EUV ablation C. Liberatore1,2, A. Bartnik5, K. Mann4, M. Müller4, L. Pina2, L. Juha3, J. J. Rocca6, A. Endo1, T. Mocek1 1 Hilase Center, Dolni Brezany, Czech Republic 2 Czech Technical University, Prague,

More information

Optics.

Optics. Optics www.optics.rochester.edu/classes/opt100/opt100page.html Course outline Light is a Ray (Geometrical Optics) 1. Nature of light 2. Production and measurement of light 3. Geometrical optics 4. Matrix

More information

Chapter 3. Design for manufacture of Fabry-Perot cavity sensors

Chapter 3. Design for manufacture of Fabry-Perot cavity sensors Chapter 3 Design for manufacture of Fabry-Perot cavity sensors When Fabry-Perot cavity sensors are manufactured, the thickness of each layer must be tightly controlled to achieve the target performance

More information

Demonstration of Near-Infrared Negative-Index Materials

Demonstration of Near-Infrared Negative-Index Materials Demonstration of Near-Infrared Negative-Index Materials Shuang Zhang 1, Wenjun Fan 1, N. C. Panoiu 2, K. J. Malloy 1, R. M. Osgood 2 and S. R. J. Brueck 2 1. Center for High Technology Materials and Department

More information

Surface Properties of EUVL. Laser Shock Cleaning (LSC)

Surface Properties of EUVL. Laser Shock Cleaning (LSC) 2007 International EUVL Symposium, Japan Surface Properties of EUVL Mask Layers after High Energy Laser Shock Cleaning (LSC) Tae-Gon Kim, Young-Sam Yoo, Il-Ryong Son, Tae-Geun Kim *, Jinho Ahn *, Jong-Myoung

More information

Photonic Crystals. Introduction

Photonic Crystals. Introduction Photonic Crystals Introduction Definition Photonic crystals are new, artificialy created materials, in which refractive index is periodically modulated in a scale compared to the wavelength of operation.

More information

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial Marks for EUV mask blanks Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial marks are laser scribed on 200 mm wafers to enable defect registration on metrology

More information

PRINCIPLES OF PHYSICAL OPTICS

PRINCIPLES OF PHYSICAL OPTICS PRINCIPLES OF PHYSICAL OPTICS C. A. Bennett University of North Carolina At Asheville WILEY- INTERSCIENCE A JOHN WILEY & SONS, INC., PUBLICATION CONTENTS Preface 1 The Physics of Waves 1 1.1 Introduction

More information

Overview of EUV Lithography and EUV Optics Contamination

Overview of EUV Lithography and EUV Optics Contamination Accelerating the next technology revolution Overview of EUV Lithography and EUV Optics Contamination Andrea Wüest NIST Contamination WS Gaithersburg, MD June 2, 2009 Copyright 2008 SEMATECH, Inc. SEMATECH,

More information

Interactions of 3D mask effects and NA in EUV lithography

Interactions of 3D mask effects and NA in EUV lithography Second Place, Best Paper Award Interactions of 3D mask effects and NA in EUV lithography Jens Timo Neumann* a, Paul Gräupner a, Winfried Kaiser a, Reiner Garreis a, Bernd Geh b a Carl Zeiss SMT GmbH, Rudolf-Eber-Str.

More information

Refraction and Dispersion in Nonlinear Photonic Crystal Superlattices

Refraction and Dispersion in Nonlinear Photonic Crystal Superlattices Refraction and Dispersion in Nonlinear Photonic Crystal Superlattices LEOS 18 th Annual Meeting Sydney, Australia Monday, 24 October 2005 Curtis W. Neff, Tsuyoshi Yamashita and Christopher J. Summers Presented

More information

Dielectric Meta-Reflectarray for Broadband Linear Polarization Conversion and Optical Vortex Generation

Dielectric Meta-Reflectarray for Broadband Linear Polarization Conversion and Optical Vortex Generation Supporting Information Dielectric Meta-Reflectarray for Broadband Linear Polarization Conversion and Optical Vortex Generation Yuanmu Yang, Wenyi Wang, Parikshit Moitra, Ivan I. Kravchenko, Dayrl P. Briggs,

More information

A Fabry-Perot Interferometer System for high-speed velocity measurement. Lun K. Cheng, A.J.A. Bruinsma, W.C. Prinse* and C.

A Fabry-Perot Interferometer System for high-speed velocity measurement. Lun K. Cheng, A.J.A. Bruinsma, W.C. Prinse* and C. A Fabry-Perot Interferometer System for high-speed velocity measurement Lun K. Cheng, A.J.A. Bruinsma, W.C. Prinse* and C. Smorenburg TNO Inst. of Appi. Phys., 2600 AD Deift, The Netherlands *TNO Prins

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Today: 1. Introduction E. Bernhard Kley Institute of Applied Physics Friedrich-Schiller

More information

10. OPTICAL COHERENCE TOMOGRAPHY

10. OPTICAL COHERENCE TOMOGRAPHY 1. OPTICAL COHERENCE TOMOGRAPHY Optical coherence tomography (OCT) is a label-free (intrinsic contrast) technique that enables 3D imaging of tissues. The principle of its operation relies on low-coherence

More information

1. Waves and Particles 2. Interference of Waves 3. Wave Nature of Light

1. Waves and Particles 2. Interference of Waves 3. Wave Nature of Light 1. Waves and Particles 2. Interference of Waves 3. Wave Nature of Light 1. Double-Slit Eperiment reading: Chapter 22 2. Single-Slit Diffraction reading: Chapter 22 3. Diffraction Grating reading: Chapter

More information

Group Velocity and Phase Velocity

Group Velocity and Phase Velocity Group Velocity and Phase Velocity Tuesday, 10/31/2006 Physics 158 Peter Beyersdorf Document info 14. 1 Class Outline Meanings of wave velocity Group Velocity Phase Velocity Fourier Analysis Spectral density

More information

Negative Index of Refraction in Optical Metamaterials

Negative Index of Refraction in Optical Metamaterials 1 Negative Index of Refraction in Optical Metamaterials V. M. Shalaev, W. Cai, U. Chettiar, H.-K. Yuan, A. K. Sarychev, V. P. Drachev, and A. V. Kildishev School of Electrical and Computer Engineering,

More information

Astronomy 203 practice final examination

Astronomy 203 practice final examination Astronomy 203 practice final examination Fall 1999 If this were a real, in-class examination, you would be reminded here of the exam rules, which are as follows: You may consult only one page of formulas

More information

Raman Albedo and Deep-UV Resonance Raman Signatures of Explosives

Raman Albedo and Deep-UV Resonance Raman Signatures of Explosives Raman Albedo and Deep-UV Resonance Raman Signatures of Explosives Balakishore Yellampalle and Brian Lemoff WVHTC Foundation, 1000 Technology Drive, Suite 1000, Fairmont, WV, USA 26554 ABSTRACT Deep-ultraviolet

More information

Evaluation at the intermediate focus for EUV Light Source

Evaluation at the intermediate focus for EUV Light Source Evaluation at the intermediate focus for EUV Light Source Takashi Suganuma, Georg Soumagne, Masato Moriya, Tamotsu Abe, Akira Sumitani, Akira Endo Extreme Ultraviolet Lithography System Development Association

More information

Thermal Expansion property and Surface Finish capability of CLEARCERAM -Z series for EUVL Photomask Substrate Application

Thermal Expansion property and Surface Finish capability of CLEARCERAM -Z series for EUVL Photomask Substrate Application Thermal Expansion property and Surface Finish capability of CLEARCERAM -Z series for EUVL Photomask Substrate Application Kousuke Nakajima, Toshihide Nakajima, Yoshiyuki Owari OHARA Incorporated 3 rd International

More information

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography 1 Reference 1. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (2001) 2. - (2004) 3. Semiconductor Physics and Devices-

More information

Scattering by a Multi-Electron Atom, Atomic Scattering Factors; Wave Propagation and Refractive Index

Scattering by a Multi-Electron Atom, Atomic Scattering Factors; Wave Propagation and Refractive Index Scattering by a Multi-Electron Atom, Atomic Scattering Factors; Wave Propagation and Refractive Index David Attwood University of California, Berkeley (http://www.coe.berkeley.edu/ast/srms) Scattering

More information

Research on the Wide-angle and Broadband 2D Photonic Crystal Polarization Splitter

Research on the Wide-angle and Broadband 2D Photonic Crystal Polarization Splitter Progress In Electromagnetics Research Symposium 2005, Hangzhou, China, August 22-26 551 Research on the Wide-angle and Broadband 2D Photonic Crystal Polarization Splitter Y. Y. Li, P. F. Gu, M. Y. Li,

More information

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 ASML NXE Pellicle progress update Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 Contents Slide 2 Introduction: a look back at 2015 NXE Pellicle update Pellicle film development NXE Scanner

More information

Introduction. Photoresist : Type: Structure:

Introduction. Photoresist : Type: Structure: Photoresist SEM images of the morphologies of meso structures and nanopatterns on (a) a positively nanopatterned silicon mold, and (b) a negatively nanopatterned silicon mold. Introduction Photoresist

More information

34. Even more Interference Effects

34. Even more Interference Effects 34. Even more Interference Effects The Fabry-Perot interferometer Thin-film interference Anti-reflection coatings Single- and multi-layer Advanced topic: Photonic crystals Natural and artificial periodic

More information

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like Far IR Gas Lasers 10-1500 microns wavelengths, 300 10 THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like microwave signal than light Created by Molecular vibronic

More information

Domain Decomposition Method for Electromagnetic Scattering Problems: Application to EUV Lithography

Domain Decomposition Method for Electromagnetic Scattering Problems: Application to EUV Lithography Domain Decomposition Method for Electromagnetic Scattering Problems: Application to EUV Lithography Lin Zschiedrich, Sven Burger, Achim Schädle, Frank Schmidt Zuse Institute Berlin, JCMwave GmbH NUSOD,

More information

MODERN OPTICS. P47 Optics: Unit 9

MODERN OPTICS. P47 Optics: Unit 9 MODERN OPTICS P47 Optics: Unit 9 Course Outline Unit 1: Electromagnetic Waves Unit 2: Interaction with Matter Unit 3: Geometric Optics Unit 4: Superposition of Waves Unit 5: Polarization Unit 6: Interference

More information

Fig. S1 The Structure of RuCE(Left) and RuCA (Right)

Fig. S1 The Structure of RuCE(Left) and RuCA (Right) Supporting information Fabrication of CZTS and CZTSSe photocathode CZTS photocathode was fabricated by sulfurization of a stacked film containing Cu, Zn and Sn. The stacked film was fabricated on Mo coated

More information

Characterising Properties and Loss in High Powered Metamaterials

Characterising Properties and Loss in High Powered Metamaterials Characterising Properties and Loss in High Powered Metamaterials Aimée Hopper PhD Student Supervisor: R. Seviour International Institute for Accelerator Applications University of Huddersfield (UK) Email:

More information

Refractive Index Measurement by Gain- or Loss-Induced Resonance

Refractive Index Measurement by Gain- or Loss-Induced Resonance Refractive Index Measurement by Gain- or Loss-Induced Resonance 59 Refractive Index Measurement by Gain- or Loss-Induced Resonance Markus Miller Using a semiconductor optical resonator consisting of a

More information

FINITE-DIFFERENCE FREQUENCY-DOMAIN ANALYSIS OF NOVEL PHOTONIC

FINITE-DIFFERENCE FREQUENCY-DOMAIN ANALYSIS OF NOVEL PHOTONIC FINITE-DIFFERENCE FREQUENCY-DOMAIN ANALYSIS OF NOVEL PHOTONIC WAVEGUIDES Chin-ping Yu (1) and Hung-chun Chang (2) (1) Graduate Institute of Electro-Optical Engineering, National Taiwan University, Taipei,

More information

ECE 484 Semiconductor Lasers

ECE 484 Semiconductor Lasers ECE 484 Semiconductor Lasers Dr. Lukas Chrostowski Department of Electrical and Computer Engineering University of British Columbia January, 2013 Module Learning Objectives: Understand the importance of

More information

Tunable metasurfaces via subwavelength phase shifters. with uniform amplitude

Tunable metasurfaces via subwavelength phase shifters. with uniform amplitude Tunable metasurfaces via subwavelength phase shifters with uniform amplitude Shane Colburn 1, Alan Zhan 2, and Arka Majumdar 1,2 1 Department of Electrical Engineering, University of Washington, Seattle.

More information

X-Ray Interaction with Matter: Absorption, Scattering and Refraction

X-Ray Interaction with Matter: Absorption, Scattering and Refraction X-Ray Interaction with Matter: Absorption, Scattering and Refraction David Attwood University of California, Berkeley 1 The short wavelength region of the electromagnetic spectrum n = 1 δ + iβ δ, β

More information

Analysis of carbon contamination on EUV mask using CSM/ ICS

Analysis of carbon contamination on EUV mask using CSM/ ICS Analysis of carbon contamination on EUV mask using CSM/ ICS Jae Uk Lee 1, Chang Young Jeong 1, Sangsul Lee 1, Jong Gul Doh 1,, Dong Geun Lee 2, Seong-Sue Kim 2, Han-Ku Cho 2, Seung-yu Rah 3 and Jinho Ahn

More information

Nanosphere Lithography

Nanosphere Lithography Nanosphere Lithography Derec Ciafre 1, Lingyun Miao 2, and Keita Oka 1 1 Institute of Optics / 2 ECE Dept. University of Rochester Abstract Nanosphere Lithography is quickly emerging as an efficient, low

More information

Phasor Calculations in LIGO

Phasor Calculations in LIGO Phasor Calculations in LIGO Physics 208, Electro-optics Peter Beyersdorf Document info Case study 1, 1 LIGO interferometer Power Recycled Fabry-Perot Michelson interferometer 10m modecleaner filters noise

More information

Resist-outgas testing and EUV optics contamination at NIST

Resist-outgas testing and EUV optics contamination at NIST 1 2012 International Workshop on EUVL, Maui, HI Resist-outgas testing and EUV optics contamination at NIST Shannon Hill, Nadir Faradzhev, Charles Tarrio, Steve Grantham, Lee Richter and Tom Lucatorto National

More information

Homework 1. Nano Optics, Fall Semester 2017 Photonics Laboratory, ETH Zürich

Homework 1. Nano Optics, Fall Semester 2017 Photonics Laboratory, ETH Zürich Homework 1 Contact: mfrimmer@ethz.ch Due date: Friday 13.10.2017; 10:00 a.m. Nano Optics, Fall Semester 2017 Photonics Laboratory, ETH Zürich www.photonics.ethz.ch The goal of this homework is to establish

More information

Fabry-Perot Interferometer for atmospheric monitoring useful for EAS detection E.Fokitis 1, K. Patrinos 1, Z. Nikitaki 1

Fabry-Perot Interferometer for atmospheric monitoring useful for EAS detection E.Fokitis 1, K. Patrinos 1, Z. Nikitaki 1 Fabry-Perot Interferometer for atmospheric monitoring useful for EAS detection E.Fokitis 1, K. Patrinos 1, Z. Nikitaki 1 ABSTRACT A piezotunable Fabry-Perot interferometer is studied as a candidate Doppler

More information

Soft X - Ray Optics: Fundamentals and Applications

Soft X - Ray Optics: Fundamentals and Applications Soft X - Ray Optics: Fundamentals and Applications University of California, Berkeley and Center for X-Ray Optics Lawrence Berkeley National Laboratory 1 The Short Wavelength Region of the Electromagnetic

More information

Chapter 35. Interference

Chapter 35. Interference Chapter 35 Interference The concept of optical interference is critical to understanding many natural phenomena, ranging from color shifting in butterfly wings to intensity patterns formed by small apertures.

More information

Simulations of an etched spiral axial attenuation scheme for an on-axis reflecting telescope

Simulations of an etched spiral axial attenuation scheme for an on-axis reflecting telescope Journal of Physics: Conference Series PAPER OPEN ACCESS Simulations of an etched spiral axial attenuation scheme for an on-axis reflecting telescope To cite this article: Aaron Spector and Guido Mueller

More information

Cleaning of Silicon-Containing Carbon Contamination

Cleaning of Silicon-Containing Carbon Contamination RC-P4 Cleaning of Silicon-Containing Carbon Contamination Toshihisa Anazawa, Noriaki Takagi, Osamu Suga, Iwao Nishiyama MIRAI-Semiconductor Leading Edge Technologies, Inc. Koichi Yamawaki, Hirotsugu Yano,

More information

Supplementary Figure 1 Comparison between normalized and unnormalized reflectivity of

Supplementary Figure 1 Comparison between normalized and unnormalized reflectivity of Supplementary Figures Supplementary Figure 1 Comparison between normalized and unnormalized reflectivity of bulk SrTiO 3. The normalized high-energy reflectivity (0.5 35 ev) of SrTiO 3 is compared to the

More information

SiO 2 Buffer-Etch Processes with a TaN Absorber for EUV Mask Fabrication

SiO 2 Buffer-Etch Processes with a TaN Absorber for EUV Mask Fabrication SiO 2 Buffer-Etch Processes with a TaN Absorber for EUV Mask Fabrication Florian Letzkus *a, Joerg Butschke a, Corinna Koepernik a, Christian Holfeld b, Josef Mathuni c, Lutz Aschke d, Frank Sobel d a

More information

1 The formation and analysis of optical waveguides

1 The formation and analysis of optical waveguides 1 The formation and analysis of optical waveguides 1.1 Introduction to optical waveguides Optical waveguides are made from material structures that have a core region which has a higher index of refraction

More information

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources A. Hassanein, V. Sizyuk, S.S. Harilal, and T. Sizyuk School of Nuclear Engineering and Center

More information

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Uzodinma Okoroanyanwu, 1 Aiqin Jiang, 2 Kornelia Dittmar, 3 Torsten Fahr, 3 Thomas Laursen, 2 Obert Wood, 1 Kevin Cummings, 2 Christian

More information

Simulations of an Interference Birefringent Thin-Film Filter Used as a Narrow-Band Polarizer

Simulations of an Interference Birefringent Thin-Film Filter Used as a Narrow-Band Polarizer imulations of an Interference Birefringent Thin-Film Filter Used as a Narrow-Band olarizer Hugo uertas de Araújo and ebastião Gomes dos antos Filho University of ão aulo LI / I / EU Av. rof. Luciano Gualberto

More information

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source 3rd International EUVL Symposium NOVEMBER 1-4, 2004 Miyazaki, Japan Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source H. Tanaka, A. Matsumoto, K. Akinaga, A. Takahashi

More information

Interference. Gambar: Museum Victoria Australia

Interference. Gambar: Museum Victoria Australia Interference Gambar: Museum Victoria Australia Formulation of Interference Intensity Superposition between two waves (point sources) Two separate point sources S 1 (x 1 ) and S 2 (x 2 ) generate EM waves

More information

A short pulsed laser cleaning system for EUVL tool

A short pulsed laser cleaning system for EUVL tool A short pulsed laser cleaning system for EUVL tool Masami Yonekawa, Hisashi Namba and Tatsuya Hayashi Nanotechnology & Advanced System Research Laboratories, Canon inc. 23-10, Kiyohara-Kogyodanchi, Utsunomiya-shi,

More information

The Quarterwave Stack: 3. A Building Block

The Quarterwave Stack: 3. A Building Block The Quarterwave Stack: 3. A Building Block Angus Macleod Thin Film Center, Inc., Tucson, AZ Contributed Original Article Introduction In part one of this series on the quarterwave stack [1] we dealt with

More information

DUV ( nm ) Characterization of Materials: A new instrument, the Purged UV Spectroscopic Ellipsometer,

DUV ( nm ) Characterization of Materials: A new instrument, the Purged UV Spectroscopic Ellipsometer, WISE 2000, International Workshop on Spectroscopic Ellipsometry, 8 9 May 2000 DUV (150 350nm ) Characterization of Materials: A new instrument, the Purged UV Spectroscopic Ellipsometer, Pierre BOHER,,

More information

Let us consider a typical Michelson interferometer, where a broadband source is used for illumination (Fig. 1a).

Let us consider a typical Michelson interferometer, where a broadband source is used for illumination (Fig. 1a). 7.1. Low-Coherence Interferometry (LCI) Let us consider a typical Michelson interferometer, where a broadband source is used for illumination (Fig. 1a). The light is split by the beam splitter (BS) and

More information

EUV Reflectivity measurements on Acktar Sample Magic Black

EUV Reflectivity measurements on Acktar Sample Magic Black Report EUV Reflectivity measurements on Acktar Sample Magic Black S. Döring, Dr. K. Mann Laser-Laboratorium Göttingen e.v. October 28, 2011 Contents 1 Introduction 3 2 Setup 3 3 Measurements 4 4 Conclusion

More information

Distributed feedback semiconductor lasers

Distributed feedback semiconductor lasers Distributed feedback semiconductor lasers John Carroll, James Whiteaway & Dick Plumb The Institution of Electrical Engineers SPIE Optical Engineering Press 1 Preface Acknowledgments Principal abbreviations

More information

Reflectivity and photoluminescence studies in Bragg reflectors with absorbing layers

Reflectivity and photoluminescence studies in Bragg reflectors with absorbing layers INSTITUTE OF PHYSICS PUBLISHING SEMICONDUCTOR SCIENCE AND TECHNOLOGY Semicond. Sci. Technol. 16 (2001) 548 552 www.iop.org/journals/ss PII: S0268-1242(01)16119-5 Reflectivity and photoluminescence studies

More information

1 N star coupler as a distributed fiber-optic strain sensor in a white-light interferometer

1 N star coupler as a distributed fiber-optic strain sensor in a white-light interferometer 1 star coupler as a distributed fiber-optic strain sensor in a white-light interferometer Libo Yuan and Limin Zhou A novel technique of using a 1 star fiber optic coupler as a distributed strain sensor

More information

ENHANCEMENT OF PHOTONIC BAND GAP IN A DIS- ORDERED QUARTER-WAVE DIELECTRIC PHOTONIC CRYSTAL

ENHANCEMENT OF PHOTONIC BAND GAP IN A DIS- ORDERED QUARTER-WAVE DIELECTRIC PHOTONIC CRYSTAL Progress In Electromagnetics Research, PIER, 27 36, 1 ENHANCEMENT OF PHOTONIC BAN GAP IN A IS- ORERE QUARTER-WAVE IELECTRIC PHOTONIC CRYSTAL C.-J. Wu, Y.-N. Rau, and W.-H. Han Institute of Electro-Optical

More information

TA/TI survey. Phy Phy

TA/TI survey.   Phy Phy TA/TI survey https://webapps.pas.rochester.edu/secure/phpq/ Phy121 7 60 73 Phy123 1 6 11 Chapter 34 The Wave Nature of Light; Interference Units of Chapter 34 34-5 Interference in Thin Films 34-6 Michelson

More information

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press Errata, by Chris Mack, chris@lithoguru.com While teaching out of this book at the University

More information

PT-symmetry and Waveguides/ (3) Waveguides & Bragg structures

PT-symmetry and Waveguides/ (3) Waveguides & Bragg structures PT-symmetry and Waveguides/ (3) Waveguides & Bragg structures Course 3 : Bragg with gain/loss (complex ) modulation Unidirectionality of plane-wave coupling History of gain-modulation (1970 s & 1990 s)

More information