High Brightness EUV Light Source for Actinic Inspection & Microscopy

Size: px
Start display at page:

Download "High Brightness EUV Light Source for Actinic Inspection & Microscopy"

Transcription

1 High Brightness EUV Light Source for Actinic Inspection & Microscopy P. Choi, V.S. Zakharov, S.V. Zakharov, R. Aliaga-Rossel, A. Bakouboula, O. Benali, P. Bove, M. Cau, G. Duffy, O. Iwase, B. Lebert, O. Sarroukh, C. Zaepffel Nano-UV EPPRA

2 EUV for EUV Lithography Diffraction restricts the resolution r k1 NA 13.5nm (h =92eV) 6.Xnm? / 2% NOW EUV for HVM beyond 16 nm For HVM: > 2 W of in-band IF within < 3mm 2 sr etendue For mask inspections ABI AIMS APMI : 3 >1 W/mm 2 sr within etendue of mm 2 sr COPIRIGHT, NANO-UV LPP & DPP can produce Sn, Xe plasma radiating at EUV range EUV sources are still the main issue of EUVL deployment 2

3 i-socomo - GEN II cell Cathode chamber + shielding shell + gas distribution + air cooling Anode chamber + water cooled structure Plasma expansion chamber - water cooled collimator structure COPIRIGHT, NANO-UV Physical Dimensions: Source : 15 mm diameter, 52 mm length, 7 kg Instrument rack : 13 x 6 x 8 mm, 2 kg Utility requirements: Electrical : 2-24V, 2Ø, 5/6 Hz, 16A Cooling : Water cooled (2 litres per minute, 15 C - 25 C inlet) He, N 2 and Xe : 3 bar inlet 3

4 MPP Discharge Experiment - plasma discharge emission from a channel produced by hollow cathode electrons Intensity (arb. units) COPIRIGHT, NANO-UV optical streak photograph pulse charged local energy storage sub-mm diameter capillary t hollow cathode e-beam for onaxis discharge initiation rapid current heating - 1st EUVL Symposium, Dallas 22 - ultra-bright high energy density radiator Wavelength (nm) o.1 o.2 o.3 o pressure (mbar) 4

5 Photodiode signal (mv) Photodiode signal (mv) Nano-UV: EUV Source micro - pulsed plasma MPP 21kV with SXUV2 A Mo/Si (35/5 nm) filtered diode from IRD in 3 nm EUV band (12.4 nm nm) Al coated (11 nm) on Si 3 N 4 (25 nm) to reject OoB 2µm pinhole aperture in front of the diode typical etendue < 1-3 mm 2.sr Discharge in He/N 2 /Xe admixture, total Flow 3.2 sccm/min Cell capacity 1.7nF The low charge energy resolves heat-loading issues Profil@74cm (21kV1mtorr ) Gauss fit of A74cm_Maxpulse Distance (mm) Scanned signal 74cm COPIRIGHT, NANO-UV Data: A74cm_Maxpulse Model: Gauss Chi^2/DoF = R^2 = y ± xc ± w ± A ± Maxpulse B Distance 98cm Data: Data3_Maxpulse Model: Gauss Chi^2/DoF = R^2 = y ± xc ±.2948 w ± A ± Distance source to diode (cm) kHz Ph/cm 2 /s 8.2e17 1.8e17 Beam HWHM (mm) Radiation solid angle = 2* (1-cos )= 6e-4 sr Radiation half angle divergence (deg).8 Estimated in-band brightness is of 31 W/mm 2 sr per khz 5

6 Source Characteristics - measurement schematics Set up Cell Aperture : 2 mm + grid Filtered Photodiode Pinhole : 5 µm CCD Camera 17 cm 49 cm cm 62 cm 68 cm 8 cm 14 cm Aperture 8 mm Aperture 2 mm Pinhole scan time averaged source diameter; size & stability angular emission properties source etendue COPIRIGHT, NANO-UV EUV filter Phystex SPF Photodiode scan filtered (Mo35nm/Si5nm) SXUV_2A diode with 3 nm band ( nm) with Al coated Si 3 N 4 to reject Oob CCD with Spectral Purity Filter (SPF) or Al coated Si 3 N 4 filter scan diode to get radiation profile and power delivered fold with pinhole scan source image data to get radiant brightness 6

7 Photodiode signal (V) Output 3 nm bandwidth (W) Irrandiance E17 ph/cm2/s Photodiode signal (mv) Source Characteristics I - irradiance & power vs stored energy Discharge Voltage Profil@74cm (22.6kV1mtorr ) Gauss fit of A74cm_Maxpulse Data: A74cm_Maxpulse Model: Gauss Chi^2/DoF = R^2 = At 74 cm, 22.6 kv, 45mJ, 1 khz, (3nm EUV band): EUV diode y ± xc ±.1214 w 9.25 ± A ± Peak irradiance 1.19 E18 ph/cm 2 /s Sigma: 4.1 mm Distance (mm) Power: 18.5W (3nm band) kV 23.2kV 22.7kV 21.5V 2.8kV 19.5kV Power W Linear Fit of Data1_W Irradiance Linear Fit of Data1_phcm2s Time (ns) Photodiode pulse over 16 shots COPIRIGHT, NANO-UV Stored energy (mj) 3nm EUV band power versus stored energy Stored energy (mj) Irradiance versus stored energy Output power and irradiance increase with increasing stored energy 7

8 Source Characteristics higher resolution source projection Pinhole scan EUV SPF filter, F4 mesh grid over SEA (2mm) - far field image showing collective features The measured source size is less than 18um Pseudo colour COPIRIGHT, NANO-UV pinhole-scan image profile - 5 m pinhole,.5 mm scan step, 5s exposure, 2x2 bin on CCD, 1 khz EUV pulses, image sensor to source 14 cm, untreated raw data 8

9 COPIRIGHT, NANO-UV Source Characteristics II - wavefront measurement HASO X-EUV Shack Hartmann wavefront sensor - (from Imagine Optic) EUV source HASO 189 mm EUV beam diameter d= 9.75 mm at 189 mm from source Beam divergence half angle =.19 Acquired image 6s exposure, source at 1 khz Derived wavefront 166 nm RMS (12 ) & 76nm PV (58 ) Solid angle =.345 msr Etendue E = mm 2 sr * With support of G. Dovillaire, E. Lavergne from Imagine Optic and P. Mercere, M.Idir from SOLEIL Synchrotron 9

10 Photodiode signal (mv) Photodiode signal (mv) Photodiode signal (mv) Source Structure Tuning - different cathode materials Measured Parameters SXUV2 A Mo/Si (35/5 nm) filtered diode from IRD, 3 nm EUV band (12.4 nm nm), Al coated (11 nm) on Si 3 N 4 (25 nm) to reject OoB, typical etendue 1.7 E-2 mm 2.sr, discharge in He/N 2 /Xe admixture with a total Flow 3.2 sccm/min, Cell capacity 1.7nF, Stored energy 44mJ Al -alloy cathode Profil@74cm (22.3kV1mtorr ) Gauss fit of A74cm_Maxpulse Data: A74cm_Maxpulse Model: Gauss Chi^2/DoF = R^2 = y ± xc ±.1429 w ± A ± SS cathode Profil@74cm (22.3kV1mtorr ) Gauss fit of A74cm_Maxpulse Data: A74cm_Maxpulse Model: Gauss Chi^2/DoF = R^2 = y ± xc ± w ± A ± Sn-alloy cathode Profil@74cm (22.3kV1mtorr ) Gauss fit of A74cm_Maxpulse Data: A74cm_Maxpulse Model: Gauss Chi^2/DoF = R^2 =.9662 y ± xc ±.157 w ± A ± Distance (mm) irradiance at 74cm, 1mtorr and 22.3kV- 7.4e17 ph/cm 2 /s at 1 khz (3nm EUV band) Power= 13.7W Sigma= 4.92mm COPIRIGHT, NANO-UV Distance (mm) irradiance at 74cm, 1mtorr and 22.3kV- 6.9e17 ph/cm 2 /s at 1 khz (3nm EUV band) Power= 16.3W Sigma= 5.6mm Distance (mm) irradiance at 74cm, 1mtorr and 22.3kV- 1.1e18 ph/cm 2 /s at 1 khz (3nm EUV band) Power= 18W Sigma= 4.5mm Sn alloy cathode improves radiation output 1

11 Irrandiance E17 ph/cm2/s Irrandiance E17 ph/cm2/s Photodiode signal (V) Photodiode signal (V) Tin addition to the gas admixture - different Tin alloy cathode Voltage scan using Sn-alloy cathode 1 Voltage scan using Sn-alloy cathode 2 higher Sn content Time (ns) 23.5kV 23.2kV 22.7kV 21.5V 2.8kV 19.5kV Irradiance Linear Fit of Data1_phcm2s kV 23.3kV 23kV 22kV 21.6kV 2.1kV Time (ns) Irradiance Linear Fit of Data1_phcm2s COPIRIGHT, NANO-UV Stored energy (mj) Stored energy (mj) 5 55 At high energy, radiation output > 1.25x using Sn alloy 2 compared to Sn alloy 1 Need to assess life time issues 11

12 Irradiance at the signal peak (x 1e17 ph/cm2/s) Irrandiance E17 ph/cm2/s Photodiode signal (V) Photodiode signal (V) Progress in experiment Results presented at EUVL october irradiance vs stored energy Current Results kV 2.5kV 21.3kV 22kV 22.6kV 23.1kV kV 23.2kV 22.7kV 21.5V 2.8kV 19.5kV Time (ns) Stored energy (J) Time (ns) Irradiance Linear Fit of Data1_phcm2s Stored energy (mj) At same operating voltage by optimisations made on the fuel gas mix and flow rate 2 fold increase in the irradiance 3 fold increase on power COPIRIGHT, NANO-UV Scaling to higher power demonstrated with Sn admixture 12

13 In-band EUV energy per shot, uj In-band EUV energy per shot, uj Gen II EUV Source - characteristics & optimization from Z* modelling J/shot 496mJ stored energy Optimization by gas mixture pressure Pressure, a.u. 1 Resistive regime COPIRIGHT, NANO-UV EUV source scan by stored electrical energy for more details, at theoretical talk (S44): S.V.Zakharov et al Energy scan calculated (in 2% band) Stored energy, mj 13

14 CYCLOPS - AIMS Aerial Image Microscope System (AIMS) tool source Design Specifications - high brightness with small etendue 1 W/mm 2.sr in-band 2% EUV radiant brightness 5mW within etendue mm 2.sr IF source area < 9 mm 2 optimized for aerial image measurements i-socomo unit, 5 khz working energy stability < 1% no debris / membrane filter Current Status system characterization stability optimization life time components testing COPIRIGHT, NANO-UV 14

15 EUV Radiance, MW/mm2 sr Multiplexing - a solution for high power & brightness Small size sources, with low enough etendue E 1 =A s << 1 mm 2 sr can be multiplexed. The EUV power of multiplexed N sources is P EUV E N The EUV source power meeting the etendue requirements increases as N 1/2 This allows efficient re-packing of radiators from 1 into N separate smaller volumes without losses in EUV power f tin Z* Scan Mass Depth (rho*r), g/cm2 R=.4mm R=.8mm R=.16mm R=.31mm R=.625mm R=1.25mm R=2.5mm R=5mm Spatial-temporal multiplexing: The average brightness of a source and output power can be increased by means of spatial-temporal multiplexing with active optics system, totallizing sequentially the EUV outputs from multiple sources in the same beam direction without extension of the etendue or collection solid angle COPIRIGHT, NANO-UV - compact physical size of SoCoMo is required 15

16 HYDRA 4 -ABI - spatial multiplexing for blank inspection Actinic Blank Inspection (ABI) tool source Design Specifications 6 W/mm 2.sr in-band 2% EUV brightness.6 W at the IF effective etendue 1-2 mm 2.sr source area - 31 mm 2 / TBD optimized for mask blank inspection 4x i-socomo units working at 3 khz each no debris / membrane filter close packed pupil fill Current Status 4 units integration & characterization single unit optimization ML mirrors evaluation & modelling COPIRIGHT, NANO-UV Z= 7 mm 25 mm Z= Cross Over Z= 5 mm All 4 sources aligned to a point without use of any solid optical collector 16

17 COPIRIGHT, NANO-UV HYDRA 4 -ABI - spatial multiplexing 4 cells 1 22 KV Cells capacity : 1.2nF each Operating Pressure ; 3mTorr Profile scans (3nm EUV 7 cm perpendicular to the optical beam axis Summation of 4 single Beams 4 Beams simultaneous ph/pulse 1.4mJ/pulse KHz 17

18 y(sig) y(sig).9988 HYDRA 4 -ABI - 4-beams flatness optimization Overlaping of 4 suitably appertured Gaussian beam at a given flatness of.2% Frame 1 9 Aug 21 Z-ray - code output, cell values Frame 1 9 Aug 21 Z-ray - code output, cell values 1 a= 1.18E+ s= E+ 1 a= 1.18E+ s= E % x(sig) f An efficiency with flatness of.2% is of 22% x(sig).998.2% f COPIRIGHT, NANO-UV 18

19 HYDRA-APMI - unique temporal & spatial multiplexing Actinic Patterned Mask Inspection (APMI) tool source Design Specifications 6-12 W/mm 2.sr in-band EUV brightness W at the IF etendue 1-2 mm 2.sr IF source area - 2 mm 2 optimized for patterned mask inspection 4-8x i-socomo units working at 3 khz each khz temporally multiplexed no debris / membrane filter Gaussian output spot Current Status optics design & modelling single unit optimization mechanical design COPIRIGHT, NANO-UV 19

20 Multiplexing - Spatial & Temporal Temporal Multiplexing Technically NOT challenging - needs development COPIRIGHT, NANO-UV 2

21 COPIRIGHT, NANO-UV Acknowledgements Collaborators Pontificia Universidad Catolica de Chile NRC Kurchatov Institute, Moscow, Russia Keldysh Institute of Applied Mathematics RAS, Moscow, Russia University College King s College London Sponsors - EU & French Government FP7 IAPP OSEO-ANVAR Investor - RAKIA 21

Properties of High Intensity EUV Micro-Plasma Pulsed Discharge EUV source

Properties of High Intensity EUV Micro-Plasma Pulsed Discharge EUV source Properties of High Intensity EUV Micro-Plasma Pulsed Discharge EUV source P. Choi, S.V. Zakharov, R. Aliaga Rossel, O. Benali, O. Sarroukh, V.S. Zakharov EPPRA NanoUV Abstract EPPRA has developed a unique

More information

High Brightness EUV Light Source System Development for Actinic Mask Metrology

High Brightness EUV Light Source System Development for Actinic Mask Metrology High Brightness EUV Light Source System Development for Actinic Mask Metrology Peter Choi, Sergey V. Zakharov, Raul Aliaga-Rossel, Aldrice Bakouboula, Otman Benali, Philippe Bove, Michèle Cau, Grainne

More information

High Brightness EUV Light Source for Metrology

High Brightness EUV Light Source for Metrology High Brightness EUV Light Source for Metrology Sergey V. Zakharov, Peter Choi, Vasily S. Zakharov EPPRA sas NANO UV sas Panel Discussion: Actinic Defect Inspection Technology for EUV MasksM 3 Remaining

More information

High intensity EUV and soft X-ray X plasma sources modelling

High intensity EUV and soft X-ray X plasma sources modelling High intensity EUV and soft X-ray X plasma sources modelling Sergey V. Zakharov +, Vasily S. Zakharov +,Peter Choi, Alex Yu. Krukovskiy, Vladimir G. Novikov, Anna D. Solomyannaya NANO UV sas EPPRA sas

More information

Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas

Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas Sergey V. Zakharov +, Peter Choi, Vasily S. Zakharov NANO UV sas EPPRA sas + also with RRC Kurchatov Institute,

More information

Pulsed-power based bright EUV light source for metrology

Pulsed-power based bright EUV light source for metrology Pulsed-power based bright EUV light source for metrology Sergey V. Zakharov NaextStream sas, Buc, France sergey.zakharov@naextstream.com + also with NRC Kurchatov Institute, Moscow, Russia 1 Sources for

More information

EUV & Soft-X X Radiation Plasma Sources

EUV & Soft-X X Radiation Plasma Sources Properties of High-Intensity EUV & Soft-X X Radiation Plasma Sources Sergey V. Zakharov +, Vasily S. Zakharov 3, Peter Choi EPPRA sas, Villebon sur Yvette, France NRC Kurchatov Institute, Moscow, Russia

More information

Padraig Dunne, UCD School of Physics Dublin, Ireland.

Padraig Dunne, UCD School of Physics Dublin, Ireland. Padraig Dunne, UCD School of Physics Dublin, Ireland. Contents Zurich Prague Dublin Padova Carl Zeiss Aachen ASML IMEC EPPRA Xtreme ISAN ISAN Progress in on line MLM carbon cleaning Progress in radiative

More information

Status of EUV Sources for Mask Metrology

Status of EUV Sources for Mask Metrology Status of EUV Sources for Mask Metrology Vivek Bakshi, Ph.D. EUV Litho Inc. 10202 Womack Road, Austin, TX 78748 USA www.euvlitho.com vivek.bakshi@euvlitho.com Outline Background Current Technology Status

More information

Evaluation at the intermediate focus for EUV Light Source

Evaluation at the intermediate focus for EUV Light Source Evaluation at the intermediate focus for EUV Light Source Takashi Suganuma, Georg Soumagne, Masato Moriya, Tamotsu Abe, Akira Sumitani, Akira Endo Extreme Ultraviolet Lithography System Development Association

More information

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source 3rd International EUVL Symposium NOVEMBER 1-4, 2004 Miyazaki, Japan Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source H. Tanaka, A. Matsumoto, K. Akinaga, A. Takahashi

More information

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application EUV light source plasma Tin icrodroplet Main pulse (CO2 laser pulse) Pre-pulse (Nd:YAG laser

More information

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source Introduction and Outline A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source Rainer Lebert, AIXUV Target Features of High Brightness EUV Source LPP Concept to reach Specification Target

More information

The Future of EUV sources: a FIRE perspective

The Future of EUV sources: a FIRE perspective The Future of EUV sources: a FIRE perspective C Fanara EPPRA sas 2010 International Workshop on Extreme Ultraviolet Sources University College Dublin Dublin, Ireland - November 13-15 2010 OUTLOOK 1. EPPRA:

More information

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source 1 Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source D. Nakamura, T. Akiyama, K. Tamaru, A. Takahashi* and T. Okada Graduate School of Information Science and Electrical Engineering,

More information

EUV Reflectivity measurements on Acktar Sample Magic Black

EUV Reflectivity measurements on Acktar Sample Magic Black Report EUV Reflectivity measurements on Acktar Sample Magic Black S. Döring, Dr. K. Mann Laser-Laboratorium Göttingen e.v. October 28, 2011 Contents 1 Introduction 3 2 Setup 3 3 Measurements 4 4 Conclusion

More information

EUV lithography and Source Technology

EUV lithography and Source Technology EUV lithography and Source Technology History and Present Akira Endo Hilase Project 22. September 2017 EXTATIC, Prague Optical wavelength and EUV (Extreme Ultraviolet) VIS 13.5nm 92eV Characteristics of

More information

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH High NA the Extension Path of EUV Lithography Dr. Tilmann Heil, Carl Zeiss SMT GmbH Introduction This talk is about resolution. Resolution λ = k 1 NA High-NA NA 0.33 0.4 0.5 0.6 Resolution @ k1=0.3 single

More information

X-Rays From Laser Plasmas

X-Rays From Laser Plasmas X-Rays From Laser Plasmas Generation and Applications I. C. E. TURCU CLRC Rutherford Appleton Laboratory, UK and J. B. DANCE JOHN WILEY & SONS Chichester New York Weinheim Brisbane Singapore Toronto Contents

More information

Radiative Properties of Krypton Plasma & Emission of Krypton DPP Source in Water-Window Spectral Range

Radiative Properties of Krypton Plasma & Emission of Krypton DPP Source in Water-Window Spectral Range 203 International Workshop on EUV and Soft X-Ray Sources, November 3-7, 203, Dublin, Ireland Radiative Properties of Krypton Plasma & Emission of Krypton DPP Source in Water-Window Spectral Range Vassily

More information

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine Content EUV lithography: History and status EUV sources- historical perspective: Age of choice Age of Xe Age of Sn Age

More information

Optimization of laser-produced plasma light sources for EUV lithography

Optimization of laser-produced plasma light sources for EUV lithography page 1 of 17 Optimization of laser-produced plasma light sources for EUV lithography M. S. Tillack and Y. Tao 1 University of California, San Diego Center for Energy Research 1 Currently at Cymer Inc.

More information

Analysis of carbon contamination on EUV mask using CSM/ ICS

Analysis of carbon contamination on EUV mask using CSM/ ICS Analysis of carbon contamination on EUV mask using CSM/ ICS Jae Uk Lee 1, Chang Young Jeong 1, Sangsul Lee 1, Jong Gul Doh 1,, Dong Geun Lee 2, Seong-Sue Kim 2, Han-Ku Cho 2, Seung-yu Rah 3 and Jinho Ahn

More information

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Yezheng Tao*, Mark Tillack, Kevin Sequoia, Russel Burdt, Sam Yuspeh, and Farrokh Najmabadi University of California, San Diego

More information

Efficient EUV source by use of a micro-target containing tin nanoparticles

Efficient EUV source by use of a micro-target containing tin nanoparticles 2008 International Workshop on EUV Lithography Efficient EUV source by use of a micro-target containing tin nanoparticles Takeshi Higashiguchi higashi@cc.utsunomiya-u.ac.jp Utsunomiya University, Japan

More information

EUV-Technology with Discharge EUV-Lamp"

EUV-Technology with Discharge EUV-Lamp EUV-Technology with Discharge EUV-Lamp" Rainer Lebert, Larissa Juschkin, Christian Wies, Bernhard Jägle, Manfred Meisen, Ulrich Bieberle, Willi Neff, Juri Barthel, Konstantin Walter, Klaus Bergmann, Fraunhofer

More information

Rare-earth plasma extreme ultraviolet sources at nm for next generation semiconductor lithography

Rare-earth plasma extreme ultraviolet sources at nm for next generation semiconductor lithography Rare-earth plasma extreme ultraviolet sources at 6.5-6.7 nm for next generation semiconductor lithography Takeshi Higashiguchi 1 Takamitsu Otsuka 1, Deirdre Kilbane 3, John White 3, Noboru Yugami 1,2,

More information

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools Stephen F. Horne, Matthew M. Besen, Matthew J. Partlow, Donald K. Smith, Paul A. Blackborow, Deborah S. Gustafson Agenda Background

More information

Progress in LPP EUV Source Development by Japan MEXT Project

Progress in LPP EUV Source Development by Japan MEXT Project Progress in LPP EUV Source Development by Japan MEXT Project Y. Izawa, N. Miyanaga, H. Nishimura, S. Fujioka, T. Aota, K. Nagai, T. Norimatsu,K. Nishihara, M. Murakami, Y. -G. Kang, M. Nakatsuka, H. Fujita,

More information

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS Chapter 7 EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS Hot dense plasma lasing medium d θ λ λ Visible laser pump Ch07_00VG.ai The Processes of Absorption, Spontaneous Emission, and Stimulated Emission Absorption

More information

Wavefront metrology and beam characterization in the EUV/soft X-ray spectral range

Wavefront metrology and beam characterization in the EUV/soft X-ray spectral range 2 nd Swedish-German Workshop on X-Ray Optics HZB Berlin-Adlershof, 28-30 April 2015 Wavefront metrology and beam characterization in the EUV/soft X-ray spectral range K. Mann J.O. Dette, J. Holburg, F.

More information

Laser heating of noble gas droplet sprays: EUV source efficiency considerations

Laser heating of noble gas droplet sprays: EUV source efficiency considerations Laser heating of noble gas droplet sprays: EUV source efficiency considerations S.J. McNaught, J. Fan, E. Parra and H.M. Milchberg Institute for Physical Science and Technology University of Maryland College

More information

COST MP0601 Short Wavelength Laboratory Sources

COST MP0601 Short Wavelength Laboratory Sources Background: Short wavelength radiation has been used in medicine and materials studies since immediately after the 1895 discovery of X-rays. The development of synchrotron sources over the last ~25 years

More information

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION Principles and Applications DAVID ATTWOOD UNIVERSITY OF CALIFORNIA, BERKELEY AND LAWRENCE BERKELEY NATIONAL LABORATORY CAMBRIDGE UNIVERSITY PRESS Contents

More information

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas I. Kambali, G. Atom O Sullivan Indonesia / Atom Vol. Indonesia 4 No. 2 (24) Vol. 47 No. - 2 (24) 7 - Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas I. Kambali * and G. O Sullivan

More information

EUREKA: A new Industry EUV Research Center at LBNL

EUREKA: A new Industry EUV Research Center at LBNL EUREKA: A new Industry EUV Research Center at LBNL Patrick Naulleau Center for X-ray Optics Lawrence Berkeley National Laboratory Berkeley Lab MSD Materials Sciences Division 1 Operating model Core operational

More information

Dynamics of a laser-assisted Z-pinch EUV source

Dynamics of a laser-assisted Z-pinch EUV source Dynamics of a laser-assisted Z-pinch EUV source Isaac Tobin Laser & Plasma Applications, School of Physics, Trinity College Dublin Supervisor Prof. James G. Lunney EUV Litho Source Workshop 6 th November

More information

On the possibility to create a prototype of laser system for space debris movement control on the basis of the 3-meter telescope.

On the possibility to create a prototype of laser system for space debris movement control on the basis of the 3-meter telescope. OJC «RPC «Precision Systems and Instruments», Moscow, Russia A. Alexandrov, V. Shargorodskiy On the possibility to create a prototype of laser system for space debris movement control on the basis of the

More information

X-TOD Update. Facility Advisory Committee Photon Breakout Session. October 30, 2007

X-TOD Update. Facility Advisory Committee Photon Breakout Session. October 30, 2007 XTOD Update Facility Advisory Committee Photon Breakout Session LCLS Layout Linac Undulator Hall FEE (Front-End-Enclosure) Diagnostics SOMS HOMS X-Ray Tunnel FEH (Far Experimental Hall) NEH (Near Experimental

More information

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source Eric Gullikson Lawrence Berkeley National Laboratory 1 Reflectometry and Scattering Beamline (ALS 6.3.2) Commissioned Fall 1994

More information

Laser and pinching discharge plasmas spectral characteristics in water window region

Laser and pinching discharge plasmas spectral characteristics in water window region Laser and pinching discharge plasmas spectral characteristics in water window region P Kolar 1, M Vrbova 1, M Nevrkla 2, P Vrba 2, 3 and A Jancarek 2 1 Czech Technical University in Prague, Faculty of

More information

UC San Diego EUV Lithography Group Progress Report

UC San Diego EUV Lithography Group Progress Report University of California, San Diego UCSD-CER-10-02 UC San Diego EUV Lithography Group Progress Report M. S. Tillack, Y. Tao, F. Najmabadi, L. Carlson, S. Yuspeh, R. Burdt, A. Farkas, N. Shaikh, N. Amin,

More information

SEMATECH 157nm Technical Review

SEMATECH 157nm Technical Review SEMATECH 157nm Technical Review Technical Status Report on F2 - Lasers for 157nm Lithography I. Klaft a), F. Voss a), I. Bragin a), E. Bergmann a), T. Nagy a), N. Niemöller a), K.Vogler a), S. Spratte

More information

A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target

A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target Takeshi Higashiguchi E-mail: higashi@opt.miyazaki-u.ac.jp Keita Kawasaki, Naoto Dojyo, Masaya Hamada, Wataru Sasaki,

More information

Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation

Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation N. Brimhall *, J. C. Painter, M. Turner, S. V. Voronov, R. S. Turley, M. Ware, and J. Peatross Department of Physics

More information

EUV sources using Xe and Sn discharge plasmas

EUV sources using Xe and Sn discharge plasmas INSTITUTE OF PHYSICS PUBLISHING JOURNAL OF PHYSICS D: APPLIED PHYSICS J. Phys. D: Appl. Phys. 37 (2004) 3254 3265 PII: S0022-3727(04)81553-5 EUV sources using Xe and Sn discharge plasmas Vladimir M Borisov,

More information

Laser triggered Z-pinch broadband extreme ultraviolet source for metrology

Laser triggered Z-pinch broadband extreme ultraviolet source for metrology Laser triggered Z-pinch broadband extreme ultraviolet source for metrology I. Tobin, L. Juschkin, Y. Sidelnikov, F. O Reilly, P. Sheridan et al. Citation: Appl. Phys. Lett. 102, 203504 (2013); doi: 10.1063/1.4807172

More information

Future Light Sources March 5-9, 2012 Low- alpha mode at SOLEIL 1

Future Light Sources March 5-9, 2012 Low- alpha mode at SOLEIL 1 Introduction: bunch length measurements Reminder of optics Non- linear dynamics Low- alpha operation On the user side: THz and X- ray short bunch science CSR measurement and modeling Future Light Sources

More information

Overview of EUV Lithography and EUV Optics Contamination

Overview of EUV Lithography and EUV Optics Contamination Accelerating the next technology revolution Overview of EUV Lithography and EUV Optics Contamination Andrea Wüest NIST Contamination WS Gaithersburg, MD June 2, 2009 Copyright 2008 SEMATECH, Inc. SEMATECH,

More information

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources A. Hassanein, V. Sizyuk, S.S. Harilal, and T. Sizyuk School of Nuclear Engineering and Center

More information

Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources

Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources Extreme ultraviolet (EUV) light sources and their optimization for emission within a narrow wavelength band

More information

Development of Cs 2 Te photocathode RF gun system for compact THz SASE-FEL

Development of Cs 2 Te photocathode RF gun system for compact THz SASE-FEL Development of Cs 2 Te photocathode RF gun system for compact THz SASE-FEL R. Kuroda, H. Ogawa, N. Sei, H. Toyokawa, K. Yagi-Watanabe, M. Yasumoto, M. Koike, K. Yamada, T. Yanagida*, T. Nakajyo*, F. Sakai*

More information

M2 TP. Low-Energy Electron Diffraction (LEED)

M2 TP. Low-Energy Electron Diffraction (LEED) M2 TP Low-Energy Electron Diffraction (LEED) Guide for report preparation I. Introduction: Elastic scattering or diffraction of electrons is the standard technique in surface science for obtaining structural

More information

Assessment of the Azimuthal Homogeneity of the Neutral Gas in a Hall Effect Thruster using Electron Beam Fluorescence

Assessment of the Azimuthal Homogeneity of the Neutral Gas in a Hall Effect Thruster using Electron Beam Fluorescence Assessment of the Azimuthal Homogeneity of the Neutral Gas in a Hall Effect Thruster using Electron Beam Fluorescence IEPC-2015-91059 / ISTS-2015-b-91059 Presented at Joint Conference of 30th International

More information

Lecture 14 Advanced Photolithography

Lecture 14 Advanced Photolithography Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes

More information

Wolter Imaging On Z. Chris Bourdon, Manager Z Imaging and Spectroscopy Julia Vogel, LLNL; Ming Wu, SNL ICF Diagnostics Workshop, October 5 th 2015

Wolter Imaging On Z. Chris Bourdon, Manager Z Imaging and Spectroscopy Julia Vogel, LLNL; Ming Wu, SNL ICF Diagnostics Workshop, October 5 th 2015 Photos placed in horizontal position with even amount of white space between photos and header Wolter Imaging On Z Chris Bourdon, Manager Z Imaging and Spectroscopy Julia Vogel, LLNL; Ming Wu, SNL ICF

More information

Diagnostic Systems for Characterizing Electron Sources at the Photo Injector Test Facility at DESY, Zeuthen site

Diagnostic Systems for Characterizing Electron Sources at the Photo Injector Test Facility at DESY, Zeuthen site 1 Diagnostic Systems for Characterizing Electron Sources at the Photo Injector Test Facility at DESY, Zeuthen site Sakhorn Rimjaem (on behalf of the PITZ team) Motivation Photo Injector Test Facility at

More information

Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography

Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography P10 Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography A. Sunahara 1 K. Nishihara 2 A. Sasaki 3 1 Institute for Laser Technology (ILT) 2 Institute of Laser

More information

AMO physics with LCLS

AMO physics with LCLS AMO physics with LCLS Phil Bucksbaum Director, Stanford PULSE Center SLAC Strong fields for x-rays LCLS experimental program Experimental capabilities End-station layout PULSE Ultrafast X-ray Summer June

More information

EUV Source Developments on Laser-Produced Plasmas using Lithium New Scheme Target

EUV Source Developments on Laser-Produced Plasmas using Lithium New Scheme Target San Diego, 25.11.7-9 EUV Source Developments on Laser-Produced Plasmas using thium New Scheme Target Shuji MIYAMOTO, Sho AMANO, Takahiro INOUE Petru-Edward NICA, Atsushi SHIMOURA Kakyo KAKU, and Takayasu

More information

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Advanced Materials Research Center, AMRC, International SEMATECH Manufacturing Initiative, and

More information

Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008

Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008 Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008 Richard London rlondon@llnl.gov Workshop on Interaction of Free Electron Laser Radiation with Matter Hamburg This work

More information

Infrastructure of Thin Films Laboratory in Institute of Molecular Physics Polish Academy of Sciences

Infrastructure of Thin Films Laboratory in Institute of Molecular Physics Polish Academy of Sciences Infrastructure of Thin Films Laboratory in Institute of Molecular Physics Polish Academy of Sciences Outline Sample preparation Magnetron sputtering Ion-beam sputtering Pulsed laser deposition Electron-beam

More information

Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target

Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target M. Vrbova 1, P. Vrba 2, S.V. Zakharov 3, V.S. Zakharov 4, M. Müller 5, D. Pánek 1, T. Parkman 1, P.Brůža 1 1 Czech Technical

More information

The Use of Synchrotron Radiation in Modern Research

The Use of Synchrotron Radiation in Modern Research The Use of Synchrotron Radiation in Modern Research Physics Chemistry Structural Biology Materials Science Geochemical and Environmental Science Atoms, molecules, liquids, solids. Electronic and geometric

More information

EUV lithography industrialization for HVM

EUV lithography industrialization for HVM EUV lithography industrialization for HVM Michael Lercel Director, Strategic Marketing, Tokyo Outline Slide 2 NXE Roadmap NXE:3400B performance Reticle front-side defectivity EUV source roadmap EUV extendibility

More information

Synchrotron-Cherenkov radiation observed in laboratory being predicted in astronomy, which will feasible compact X- ray laser

Synchrotron-Cherenkov radiation observed in laboratory being predicted in astronomy, which will feasible compact X- ray laser Synchrotron-Cherenkov radiation observed in laboratory being predicted in astronomy, which will feasible compact X- ray laser H. Yamada Ritsumeikan University, Tabletop Synchrotron Laboratory J. Synchrotron

More information

Vladimir Novikov. 4 th July nd October 2015

Vladimir Novikov. 4 th July nd October 2015 4 th July 1950 2 nd October 2015 was born in Kemerovo, Siberia Alma Mater Moscow Institute of Physics and Technology In 1973 Vladimir received M.Sc in Physics from MIPT Keldysh Institute of Applied Mathematics,

More information

188 L. Jakubowski and M.J. Sadowski temperature. Some examples of the registered X-ray images are shown in Fig.1. Figure 1. X-ray pinhole images from

188 L. Jakubowski and M.J. Sadowski temperature. Some examples of the registered X-ray images are shown in Fig.1. Figure 1. X-ray pinhole images from Brazilian Journal of Physics, vol. 32, no. 1, March, 2002 187 Hot-Spots in Plasma-Focus Discharges as Intense Sources of Different Radiation Pulses L. Jakubowski and M.J. Sadowski The Andrzej Soltan Institute

More information

Development of portable neutron generators based on pinch and plasma focus discharges 1

Development of portable neutron generators based on pinch and plasma focus discharges 1 Development of portable neutron generators based on pinch and plasma focus discharges 1 Leopoldo Soto*, José Moreno, Patricio Silva, Cristian Pavez, Miguel Cárdenas, and Luis Altamirano Comisión Chilena

More information

RADIATION SOURCES AT SIBERIA-2 STORAGE RING

RADIATION SOURCES AT SIBERIA-2 STORAGE RING RADIATION SOURCES AT SIBERIA-2 STORAGE RING V.N. Korchuganov, N.Yu. Svechnikov, N.V. Smolyakov, S.I. Tomin RRC «Kurchatov Institute», Moscow, Russia Kurchatov Center Synchrotron Radiation undulator undulator

More information

Advanced laser technology for 3D-shaping ~ toward to the highest brightness of electron beam source ~

Advanced laser technology for 3D-shaping ~ toward to the highest brightness of electron beam source ~ Advanced laser technology for 3D-shaping ~ toward to the highest brightness of electron beam source ~ Hiromistu Tomizawa Accelerator Division, Japan Synchrotron Radiation Research Institute (SPring-8)

More information

Silicon PIN Photodiode

Silicon PIN Photodiode Silicon PIN Photodiode DESCRIPTION VBPW34FAS VBPW34FASR 21726 VBPW34FAS and VBPW34FASR are high speed and high sensitive PIN photodiodes. It is a surface mount device (SMD) including the chip with a 7.5

More information

X-Ray Measurements of the Levitated Dipole Experiment

X-Ray Measurements of the Levitated Dipole Experiment X-Ray Measurements of the Levitated Dipole Experiment J. L. Ellsworth, J. Kesner, MIT Plasma Science and Fusion Center, D.T. Garnier, A.K. Hansen, M.E. Mauel, Columbia University, S. Zweben, Princeton

More information

Diffractometer. Geometry Optics Detectors

Diffractometer. Geometry Optics Detectors Diffractometer Geometry Optics Detectors Diffractometers Debye Scherrer Camera V.K. Pecharsky and P.Y. Zavalij Fundamentals of Powder Diffraction and Structural Characterization of Materials. Diffractometers

More information

Fabrication of micro-optical components in polymer using proton beam micro-machining and modification

Fabrication of micro-optical components in polymer using proton beam micro-machining and modification Nuclear Instruments and Methods in Physics Research B 210 (2003) 250 255 www.elsevier.com/locate/nimb Fabrication of micro-optical components in polymer using proton beam micro-machining and modification

More information

Plasma Accelerator for Detection of Hidden Objects by Using Nanosecond Impulse Neutron Inspection System (NINIS)

Plasma Accelerator for Detection of Hidden Objects by Using Nanosecond Impulse Neutron Inspection System (NINIS) Plasma Accelerator for Detection of Hidden Objects by Using Nanosecond Impulse Neutron Inspection System (NINIS) Cooperation Institute of Plasma Physics and Laser Microfusion, Warsaw, Poland Institute

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 5 MICRO AND NANOPROCESSING TECHNOLOGIES Introduction Ion lithography X-ray lithography Soft lithography E-beam lithography Concepts and processes Lithography systems Masks and resists Chapt.9.

More information

Laser Ablation for Chemical Analysis: 50 Years. Rick Russo Laser Damage Boulder, CA September 25, 2012

Laser Ablation for Chemical Analysis: 50 Years. Rick Russo Laser Damage Boulder, CA September 25, 2012 Laser Ablation for Chemical Analysis: 50 Years Rick Russo Lawrence Berkeley National Laboratory Applied Spectra, Inc 2012 Laser Damage Boulder, CA September 25, 2012 Laser Ablation for Chemical Analysis:

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

Measurement method for the proficiency testing program

Measurement method for the proficiency testing program APLAC T088 Appendix Measurement method for the proficiency testing program Introductions This measurement method is prepared for use by the APLAC Proficiency Testing Program Photometric measurement of

More information

Electronic Supplementary Information

Electronic Supplementary Information Electronic Supplementary Material (ESI) for Journal of Materials Chemistry C. This journal is The Royal Society of Chemistry 2017 Electronic Supplementary Information Polymorphism and microcrystal shape

More information

The European XFEL in Hamburg: Status and beamlines design

The European XFEL in Hamburg: Status and beamlines design UVX 2010 (2011) 63 67 DOI: 10.1051/uvx/2011009 C Owned by the authors, published by EDP Sciences, 2011 The European XFEL in Hamburg: Status and beamlines design J. Gaudin, H. Sinn and Th. Tschentscher

More information

Large Plasma Device (LAPD)

Large Plasma Device (LAPD) Large Plasma Device (LAPD) Over 450 Access ports Computer Controlled Data Acquisition Microwave Interferometers Laser Induced Fluorescence DC Magnetic Field: 0.05-4 kg, variable on axis Highly Ionized

More information

Supplemental material for Bound electron nonlinearity beyond the ionization threshold

Supplemental material for Bound electron nonlinearity beyond the ionization threshold Supplemental material for Bound electron nonlinearity beyond the ionization threshold 1. Experimental setup The laser used in the experiments is a λ=800 nm Ti:Sapphire amplifier producing 42 fs, 10 mj

More information

Plasma EUV source has been studied to achieve 180W of power at λ=13.5nm, which is required for the next generation microlithography

Plasma EUV source has been studied to achieve 180W of power at λ=13.5nm, which is required for the next generation microlithography Acknowledgement K. Nishihara, H. Nishimura, S. Fujioka Institute for Laser Engineering, Osaka University A. Sunahara, H. Furukawa Institute for Laser Technology T. Nishikawa, Okayama University F. Koike,

More information

Seminar BELA STAR SIMULATOR

Seminar BELA STAR SIMULATOR Seminar BELA STAR SIMULATOR Sumita Chakraborty, Michael Affolter, Jakob Neubert (external contractor), Stefan Graf, Daniele Piazza and many more Universität Bern Content > Mercury > BepiColombo > MPO and

More information

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources Kazutoshi Takenoshita, Chiew-Seng Koay, Somsak Teerawattansook, & Martin Richardson Laser Plasma Laboratory,

More information

LDLS Laser-Driven Light Source

LDLS Laser-Driven Light Source LDLS Laser-Driven Light Source From The Innovators in Light ENERGETIQ 7/8/2011 1 Peter J. Dwyer, Ph.D. pdwyer@energetiq.com +1 781 939 0763 x 141 The LDLS Product Range EQ-99FC LDLS System EQ-1500 LDLS

More information

4.2 Photon Beam Line Systems

4.2 Photon Beam Line Systems shielding walls will be ~1-m thick, and the transverse walls will be ~1.5-m thick. Polyethylene will be used to shield neutrons, and additional lead and/or steel will be used for localized shielding as

More information

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World,AIMS EUV Development Design Study Karl-Heinz Bechstein, Mathias Esselbach, Wolfgang Harnisch, Norbert Rosenkranz, Thomas Scherübl, Holger

More information

EUV and Soft X-Ray Optics

EUV and Soft X-Ray Optics EUV and Soft X-Ray Optics David Attwood University of California, Berkeley Cheiron School September 2011 SPring-8 1 The short wavelength region of the electromagnetic spectrum n = 1 δ + iβ δ, β

More information

High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources *

High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources * High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources * J.D. Hybl**, T.Y. Fan, W.D. Herzog, T.H. Jeys, D.J.Ripin, and A. Sanchez 2008 International Workshop on EUV Lithography

More information

Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements

Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements M. S. Tillack, Y. Tao, Y. Ueno*, R. Burdt, S. Yuspeh, A. Farkas, 2 nd TITAN workshop on MFE/IFE common research

More information

Important processes in modeling and optimization of EUV lithography sources

Important processes in modeling and optimization of EUV lithography sources Important processes in modeling and optimization of UV lithography sources T. Sizyuk and A. Hassanein Center for Materials under xtreme nvironment, School of Nuclear ngineering Purdue University, West

More information

Development of X-ray phase imaging method using a compact high-brightness X-ray generator

Development of X-ray phase imaging method using a compact high-brightness X-ray generator Development of X-ray phase imaging method using a compact high-brightness X-ray generator Development of X-ray phase imaging method using a compact high-brightness X-ray generator Sub-theme: Evaluation

More information

High energy gamma production: analysis of LAL 4-mirror cavity data

High energy gamma production: analysis of LAL 4-mirror cavity data High energy gamma production: analysis of LAL 4-mirror cavity data Iryna Chaikovska LAL, Orsay POSIPOL 211, August, 28 1 Experiment layout Electron energy Electron charge Revolution period Electron bunch

More information

Wigner distribution measurement of the spatial coherence properties of FLASH

Wigner distribution measurement of the spatial coherence properties of FLASH Wigner distribution measurement of the spatial coherence properties of FLASH Tobias Mey Laser-Laboratorium Göttingen e.v. Hans-Adolf-Krebs Weg 1 D-37077 Göttingen EUV wavefront sensor Experimental setup

More information

Silicon PIN Photodiode

Silicon PIN Photodiode VEMD550CF Silicon PIN Photodiode DESCRIPTION VEMD550CF is a high speed and high sensitive PIN photodiode. It is a low profile surface-mount device (SMD) including the chip with a 7.5 mm 2 sensitive area

More information

Waseda University. Design of High Brightness Laser-Compton Light Source for EUV Lithography Research in Shorter Wavelength Region

Waseda University. Design of High Brightness Laser-Compton Light Source for EUV Lithography Research in Shorter Wavelength Region Waseda University Research Institute for Science and Engineering Design of High Brightness Laser-Compton Light Source for EUV Lithography Research in Shorter Wavelength Region Research Institute for Science

More information