Evolution of Optical Lithography towards 22nm and beyond Donis Flagello

Size: px
Start display at page:

Download "Evolution of Optical Lithography towards 22nm and beyond Donis Flagello"

Transcription

1 Evolution of Optical Lithography towards 22nm and beyond Donis Flagello Slide 1

2 ArF water immersion lithography cannot image 32 nm HP in SE 200 Resolution, Shrink (nm) AT:1200 Logic NAND Flash DRAM Logic / SRAM DRAM NAND XT:1400 ASML Product Introduction XT:1700i 0.27 ~ 0.30 XT:1900i NEXT 0.29 ~ 0.35 EUV 6 Transistor SRAM Cell 0.36 ~ 0.44 Immersion Double Patterning Year of Production Start* Note: Process development 1.5 ~ 2 years in advance / updated 12/07 / Slide 2 EUV

3 Outline Evolution, Lithography, and Main Optical Litho Options Current Progress in DPT: LithoEtchLithoEtch Current Progress in DPT: Spacer Future Evolution Conclusion and Discussion / Slide 3

4 Genetic Evolution as applied to Advanced Lithography We have a population of ideas and solutions The best or optimum solution is the one that is cost effective for the specifics of the chip manufacturer This cost function is NOT identical for all manufacturers The solutions keep adapting to the challenges and industry demands New solutions can evolve from previous solutions, e.g., NA=0.63 to NA=0.7 for higher resolution, and therefore, greater shrink leading to better cost performance Solutions can be mixed with others cross over Every once on awhile a really radical idea emerges mutation E.g., 193 immersion E.g., imprint mutating from embossing The optimum is found by arduous global searching and modification Parallel optimization, not serial There may be many local minima but only one maximum for the cost function / Slide 4

5 Evolutionary path to DPT (Double Patterning Technology) uses 193nm and provides extendibility to other λ, e.g., EUV Contact/Proximity printing Direct Write Ebeam Optical Projection 1X Scanner Broadband Ion Beam Multibeam Ebeam 5x,10x S&R Xray 1X Scanner DUV Step and Scan Optical Maskless Immersion Imprint NA>1.35 Polarization Imaging 193nm DPT Solid Immersion EUV NA 0.25 / Slide 5

6 Main Stream HV Optical Litho options Litho options with potential to be adopted at <3x node for memory designs that requires 1:1 hp resolution: Spacer (selfaligned); LELE (Dual LithoEtch) LFLE (Litho Freeze Litho Etch) EUV (single exposure) Main Double patterning options: Spacer and LELE positive or negative process flow Requirements fro 32nm CDU 3σ for 32nm L/S CDU line Target CDU Flash 3.2nm Target CDU Other (DRAM. Logic) 3.2nm CDU space 3.2nm 4.0nm / Slide 6

7 Outline Evolution, Lithography, and Main Optical Litho Options Current Progress in DPT: LithoEtchLithoEtch Current Progress in DPT: Spacer Future Evolution Conclusion and Discussion / Slide 7

8 Experimental (schematically) Resist Barc HM Poly SiO2 HM Poly SiO2 Positive LELE 1:1 L/S 1 mask 1 st litho: Expose 2 to~ 1:2 1 st etch + Trim to 1:3 3 Spacer DPT Resist Barc Sacrificial APF HM Electric layer Sacrificial (APF) HM Electric layer Spacer deposition Spacer after Sacrificial removal Final Poly SiO 2 / Slide 8

9 Experimental: conditions and tools crucial for process control Exposure Multiple XT:1900i (ASML VHV) XT:1700i (IMEC) 32nm L/S: annular 1.2 NA XYpol 0.8/0.5 22nm L/S: 1.35NA dipolex 35 deg Y pol 0.92/0.72 Reticle 6% Attenuated PSM 64nm L/S 44nm L/S Track multiple tracks; interfaced to XT:1900 and XT:1700 Etch Metrology VHV: LELE IMEC: LELE and Spacer CDSEM angle resolved scatterometry YS) Process Control DoseMapper GridMapper Intrafield and Interfield dose and grid corrections / Slide 9

10 LELE: CDU for Isolated and Dense Lines Target CDlitho 1 (dense) Real CDlitho is smaller than target CDlitho Errors caused by 1 st litho Target CDlitho 1 (iso) 1 st etch introduces additional CD error Overlay error 2 nd Litho: target CD different from CDlitho1 Overlay error causes spaces (in a positive process) to be different Dense L 1 S 1 L 2 S 2 Final CD < 10% Target CD Final CD includes 4 populations, two for lines, two for spaces Iso / Slide 10

11 Doublepatterning LELE: LineCD distribution Target CD and CDU is critical for multiple line populations Count Bimodal CD distribution occurs when CD differs in the two exposures impact on total CDU of lines Line CD [nm] population 1 population 2 / Slide 11

12 Doublepatterning: Space distribution Overlay is critical in CDU for multiple space populations Count Space CD [nm] / Slide 12

13 Process control in LithoEtchLithoEtch and Spacer Error component Pattern polarity Positive Tone Spacer Lines Spaces Positive tone LELE Lines Spaces Typical Contributions Dose Focus Track and etch process Spacer deposition (+ multiple etch steps) Mask CDU (1x) (assumes MEEF of 1.4) Main Contributors To CDU lines Mask registration and overlay (1x) Scanner overlay CDU lines (nm) CDU spaces (nm) Overlay& Mask. reg Contributions in CDU spaces Jo Finders, Mircea Dusa, Stephen Hsu, MLW 2008, to be published / Slide 13

14 Evolution of DPT Overlay at 32nm resolution Measured with CDSEM P1 L P1 R DPT _ OL = P P 1 P 1 = DPT Overlay [nm] P1 L + P1 R 2 XT:1900i Mean+3σ: x=2.9nm, y=3.0nm Keystone 2007 DPT Overlay (Mean+3σ): X: 4.6 nm Y: 4.3 nm XT:1700i No corrections / filtering applied 2.5 weeks between exposures DPT overlay well controlled <3nm / Slide 14

15 Evolution of DPT Overlay at 32nm resolution Multiple XT:1900 s, multiple wafers Raw Data XT:1700i XT:1900i #1 XT:1900i, #2 XT:1900i, 4 wafers M+3σ, x M+3σ, y CDSEM 2579 meas CDSEM 332 meas CDSEM 2579 meas Multiple XT:1900 s with similar performance, stable over Same wafer, different sampling multiple wafers Dense sampling to capture inter and intrafield fingerpint / Slide 15

16 DPT overlay reticle contribution Die fingerprint, same reticle on 2 different XT:1900 s CDSEM DPT overlay XT:1900 #1 99.7%: x=2.5nm y=3.0nm 99.7% x=1.4nm y=1.2nm Modeled die fingerprint CDSEM DPT overlay XT:1900 #2 99.7%: x=3.3nm y=3.6nm Reticle Contribution 1.5nm Reticle overlay fingerprint reproduces over two machines and may be compensated 99.7% x=1.1nm y=1.7nm / Slide 16

17 CD Reticle contribution to DPT CDU Measured reticle data at 1x level for three reticles MEEF = 1.5 ± 0.2 SPIE 2008 (LELE) 3σ = 0.9 nm With DoseMapper: 3σ = 0.4 nm EMLC 2007 Bacus 2007 SPIE 2008 (Spacer) 3σ = 0.6 nm With DoseMapper: 3σ = 0.5 nm SPIE 2007 Keystone σ = 1.9 nm Reticle contribution to CDU is nm Can be corrected by DoseMapper With DoseMapper: 3σ = 0.7 nm / Slide 17

18 Track contribution to DPT CDU Evaluated after litho 1 Track #1 XT:1900i CDSEM Track #2 Raw CD data Fitted Interfield CD fingerprint Track fingerprints are different and can be corrected with DoseMapper From raw CD data (contains track and reticle) Track #1: CDU 2.9 nm > 1.1 nm with DoseMapper Track #2: CDU 3.8 nm > 1.4 nm with DoseMapper / Slide 18

19 Etch contribution to DPT CDU After hardmask etch, measured with CDSEM Etcher 1 Etcher 2 XT:1900i CDSEM Raw CD data Fitted Interfield CD fingerprint Etch fingerprints are different and can be corrected by DoseMapper From raw CD data (contains reticle, track, etch) Etcher #1: CDU 4.0 nm > 1.8 nm with DoseMapper Etcher #2: CDU 3.3 nm > 2.0 nm with DoseMapper DoseMapper is required to achieve target CDU of 3.2nm 3σ / Slide 19

20 Final result LELE (raw experimental data) Line1: Mean=36.1 3σ=4.6nm Line2: Mean=38.8 3s=5.5nm Space1 Mean=26.8 3s=5.7nm (XT:1900i; CD SEM, 2579 measurements) Correction of mean CD and CDU is needed L1 L2 Space2 Mean= s=5.6nm S1 S2 / Slide 20

21 Final wafer result applying DoseMapper Line1: Mean=34.9 3σ=2.2nm Line 2 Mean=34.6 3σ=2.3nm Space 1 Mean= σ=3.7nm Space 2 Mean=28.5 3σ=3.8nm Lines: CDU < Target CDU Spaces: CDU< Target CDU for DRAM, logic / Slide 21

22 Outline Evolution, Lithography, and Main Optical Litho Options Current Progress in DPT: LithoEtchLithoEtch Current Progress in DPT: Spacer Future Evolution Conclusion and Discussion / Slide 22

23 Spacer Double Patterning: Isolated and Dense Lines (1D) Target CDlitho (dense) Real CD is smaller than target CD Error caused by litho and etch trim patterning steps Target CDlitho (iso) Spacer deposition, CMP, and etch introduces further CD errors S 1 S 2 L 1 L 2 CD error in the sacrificial layer causes overlaylike error in final pattern ( pitch walking ) Dense / Slide 23 Iso

24 Spacer Doublepatterning: SpaceCD distribution Target CD and CDU is critical for multiple space populations Space CD [nm] / Slide 24

25 Process control in LithoEtchLithoEtch and Spacer Error component Pattern polarity Positive Tone Spacer Lines Spaces Positive tone LELE Lines Spaces Typical Contributions Dose Focus Track and etch process Spacer deposition (+ multiple etch steps) Mask CDU (1x) (assumes MEEF of 1.4) Mask registration and overlay (1x) Scanner overlay 3 CDU lines (nm) CDU spaces (nm) Jo Finders, Mircea Dusa, Stephen Hsu, MLW 2008, to be published / Slide 25

26 32nm Spacer Final result (experimental data: CDSEM) Line1 Mean=32.7 3σ=2.1nm Line2 Mean=32.7 3σ=2.0nm Space1 Mean= σ=2.1nm Space2 Mean=32.8 3σ=4.1nm CD L1 L2 S1 S2 Most critical: space control Distance From center XT1700i;CDSEM / Slide 26

27 Balancing the Space CDs By changing the dose in lithography L1 L2 S1 S2 CD (nm) S S L L2 L S2 S mJ mJ Dose (mj) / Slide 27

28 Expected performance after applying DoseMapper (calculated) Line1 Mean=32.7 3σ=2.1nm Line2 Mean=32.7 3σ=2.0nm Space 1 Mean= σ=2.3nm Space 2 Mean= σ=2.8nm / Slide 28

29 LELE and Spacer Experimental Summary Experimental investigation of Spacer DPT and Litho DPT suggests process corrections are required to achieve target CDUs For LELE DPT we were able to improve overlay on resolution to 3nm for single hard mask stack. Using DoseMapper fingerprints from Reticle, Track and Etch can be reduced. Process control for LELE and Spacer by DoseMapper and GridMapper LELE: overlap and CDU of the two line and the two space populations Spacer: overlap and balanced performance for the two space populations CDU 3σ for 32nm L/S Requirement Flash LELE LELE +Litho Process Control Spacer Spacer+ Litho Process Control CDU Line 1 3.2nm 4.6nm 2.1nm 2.1nm 2.1nm CDU Line 2 3.2nm 5.5nm 2.3nm 2.1nm 2.1nm CDU Space 1 3.2nm 5.7nm 3.7nm 2.1nm 2.3nm CDU Space 2 3.2nm 5.6nm 3.8nm 4.1nm 2.8nm 32nm seems feasible for both LELE and Spacer / Slide 29

30 Outline Evolution, Lithography, and Main Optical Litho Options Current Progress in DPT: LithoEtchLithoEtch Current Progress in DPT: Spacer Future Evolution Conclusion and Discussion / Slide 30

31 Litho double patterning: LithoFreezeLithoEtch Litho quality OK for nonresolution DPT application Random logic at K 1 =0.28 LELE LFLE LFLE advantage over LELE application to random logic: CD1 is larger after freezing / Slide 31

32 Litho double patterning: LithoFreezeLithoetch Litho quality yet below required performance to double resolution at ½ pitch Litho1 Standard resist 1 st : 32.9nm 2 nd : 32.4nm Freezing process: conformal coat and process of a thin material to protect 1 st resist Litho 2 Coat, expose, develop 2 nd pattern 2 nd 1 st Issues: CD1 is larger after freezing => requires smaller CD s at 1 st / litho Slide 32 => difficult CD control for 32nm ½ P Higher LER

33 Litho patterning process control for CD and Overlay of 32nm, using angle resolved scatterometry raw etched poly CDU mean CD overlay between litho 1 and 2 < 4.9 nm < 7.0 nm < 6.3 nm 99.7% OVL X = 4.0 nm 99.7% OVL Y = 4.2 nm Line1 Line2 10 nm DoseMapper recipe DoseMapper recipe Optimum GridMapper recipe < 2.8 nm < 3.8 nm < 0.8 nm 99.7% OVL X = 3.2 nm 99.7% OVL Y = 3.4 nm DoseMapper corrected etched / Slide poly 33 CDU mean CD 10 nm

34 Optical double patterning potential for 22nm L/S Example: LELE LITHO 32nm L/S 22nm L/S k k 1 final Exposure Annular Dipole XY polarized Y polarized 1.2 NA 1.35 NA topdown SEM Dose sensitivity nm/% dose STEM Almost the same dose sensitivity obtained for 22nm L/S by going to more aggressive Illuminator setting / Slide 34

35 EUV is another candidate for 22 nm HP and beyond Ref: SPIE2008, / Slide 35

36 6 mirror design is extendable to >0.32NA, and even larger apertures (>0.5NA) feasible with obscuration and 8 mirrors Resolution < 20 nm HP at k1>0.5 NA 0.25 NA 0.3x NA > 0.4, no obscuration NA > 0.5, obscured Incidence angles limit aperture Obscuration reduces angle of incidence NA Obscured NA US 6,710,917 B2 WO 2006/ Design examples Ref. W. Kaiser et al. (Carl Zeiss SMT AG), The future of EUVL SPIE2008 / Slide 36

37 Outline Evolution, Lithography, and Main Optical Litho Options Current Progress in DPT: LithoEtchLithoEtch Current Progress in DPT: Spacer Future Evolution Conclusion and Discussion / Slide 37

38 Conclusions and Discussion Extension of 193nm lithography to 3X and 2X nodes Realizing there is no new NA on the horizon, the focus shifts from a straight shrink to learning how to reduce the effective k 1 through double patterning Spacer and LELE are the most likely approaches Spacer can be used with any existing lithography tool but is more complex to layout and process LFLE is an opportunity to further reduce processing costs Litho tool Both spacer and LELE require much tighter CDU than required from SE lithography; LELE must also achieve overlay on the order 3nm Tighter CDU and overlay budgets should be achieved through active compensation of wafer and field spatial distributions. Metrology Large sampling of CD and overlay will require fast metrology such as scatterometry Ultimate noneuv solution for 22nm and below may be DPT hybrid of optical and nonoptical / Slide 38

39 Acknowledgement ASML David Deckers, Ad Lammers, Dorothe Oorschot, Bart Rijpers, Paul de Haas, Christian Leewis, Martyn Coogans, Eddy van der Heijden, John Quaedackers, Jeroen Meessen, Toine de Kort, Joris Kuin, Robert Routh, Andre Engelen, Eelco van Setten, Mark van de Kerkhof, Hans Bakker, Jos de Klerk, Koen van Ingen Schneau, Noreen Harnet IMEC Mireille Maenhoudt, Shaunee Cheng, Patrick Jaenen, Tom Vandeweyer, Diziana Vangoidsenhoven Carl Zeiss Winfried Kaiser, / Slide 39

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML Double patterning for 32nm and below: an update Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML Mireille Maenhoudt, Shaunee Cheng, Tom Vandeweyer IMEC / Slide 1

More information

Double patterning for 32nm and below: an update. ASML US Inc, 4211 Burton Dr. Santa Clara, CA, USA. IMEC vzw, Kapeldreef 75, B-3001 Heverlee, Belgium;

Double patterning for 32nm and below: an update. ASML US Inc, 4211 Burton Dr. Santa Clara, CA, USA. IMEC vzw, Kapeldreef 75, B-3001 Heverlee, Belgium; Double patterning for 32nm and below: an update Jo Finders (a), Mircea Dusa (b) Bert Vleeming (a) Henry Megens (a) Birgitt Hepp (a) Mireille Maenhoudt (c), Shaunee Cheng (c), Tom Vandeweyer (c) (a) ASML,

More information

Towards 3nm overlay and critical dimension uniformity: an integrated error budget for double patterning lithography

Towards 3nm overlay and critical dimension uniformity: an integrated error budget for double patterning lithography Towards 3nm overlay and critical dimension uniformity: an integrated error budget for double patterning lithography Bill Arnold SPIE Advanced Lithography Optical SPIE 69-3 / Slide Outline Double patterning

More information

Litho scenario solutions for FinFET SRAM 22nm node

Litho scenario solutions for FinFET SRAM 22nm node See discussions, stats, and author profiles for this publication at: https://www.researchgate.net/publication/51695 Litho scenario solutions for FinFET SRAM nm node ARTICLE in PROCEEDINGS OF SPIE - THE

More information

Chromeless Phase Lithography (CPL)

Chromeless Phase Lithography (CPL) Chromeless Phase Lithography (CPL) Chromeless Phase Lithography or CPL is a recent development in the area of phase shifting technology that is extending the perceived k 1 limits and has the potential

More information

ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM

ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM Jan van Schoot, Bert Koek, Chris de Mol, Peter van Oorschot. ASML Veldhoven, The Netherlands This paper was first presented at the Semicon/

More information

Cost of Ownership Considerations for Maskless Lithography

Cost of Ownership Considerations for Maskless Lithography Accelerating the next technology revolution Cost of Ownership Considerations for Maskless Lithography Lloyd C. Litt, SEMATECH Andrea F. Wüest, SEMATECH Copyright 2008 SEMATECH, Inc. SEMATECH, and the SEMATECH

More information

Resist material for negative tone development process

Resist material for negative tone development process Resist material for negative tone development process FUJIFILM Corporation Electronic Materials Research Laboratories P-1 Outline 1. Advantages of negative tone imaging for DP 2. Process maturity of negative

More information

EUV lithography industrialization for HVM

EUV lithography industrialization for HVM EUV lithography industrialization for HVM Michael Lercel Director, Strategic Marketing, Tokyo Outline Slide 2 NXE Roadmap NXE:3400B performance Reticle front-side defectivity EUV source roadmap EUV extendibility

More information

EUV Lithography Towards Industrialization

EUV Lithography Towards Industrialization EUV Lithography Towards Industrialization Wim van der Zande, Director of Research, ASML Dublin Meeting November 2014 Slide 2 Agenda EUV benefit and status at customers Towards higher productivity Summary

More information

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond Christof Krautschik Technology & Manufacturing Group External Programs Intel, Santa Clara, CA October

More information

Mask Characterization for Double Patterning Lithography

Mask Characterization for Double Patterning Lithography Mask Characterization for Double Patterning Lithography Karsten Bubke 1, Eric Cotte 1, Jan Hendrik Peters 1, Robert de Kruif, Mircea Dusa 3, Joerg Fochler 4, Brid Connolly 4 1 Advanced Mask Technology

More information

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH High NA the Extension Path of EUV Lithography Dr. Tilmann Heil, Carl Zeiss SMT GmbH Introduction This talk is about resolution. Resolution λ = k 1 NA High-NA NA 0.33 0.4 0.5 0.6 Resolution @ k1=0.3 single

More information

Interactions of 3D mask effects and NA in EUV lithography

Interactions of 3D mask effects and NA in EUV lithography Second Place, Best Paper Award Interactions of 3D mask effects and NA in EUV lithography Jens Timo Neumann* a, Paul Gräupner a, Winfried Kaiser a, Reiner Garreis a, Bernd Geh b a Carl Zeiss SMT GmbH, Rudolf-Eber-Str.

More information

Important challenge for the extension of Spacer DP process

Important challenge for the extension of Spacer DP process Important challenge for the extension of Spacer DP process H. Yaegashi Tokyo Electron Limited Leading-edge Process development center Kobe, JAPAN 21 October 2010 1 Outline Background Lithographic scaling

More information

Cost Implications of EUV Lithography Technology Decisions

Cost Implications of EUV Lithography Technology Decisions Accelerating the next technology revolution Cost Implications of EUV Lithography Technology Decisions Andrea F. Wüest, SEMATECH Andrew J. Hazelton, Nikon Corporation Greg Hughes, SEMATECH Lloyd C. Litt,

More information

Impact of Pellicle on Overlay in Double Patterning Lithography

Impact of Pellicle on Overlay in Double Patterning Lithography Impact of Pellicle on Overlay in Double Patterning Lithography Oliver Loeffler 1, Frank Laske 2, Michael Ferber 2, Klaus-Dieter Roeth 2, Lin Chua 3, You Seung Jin 3, Gino Marcuccilli 3, Venkat Nagaswami

More information

Critical Dimension Uniformity using Reticle Inspection Tool

Critical Dimension Uniformity using Reticle Inspection Tool Critical Dimension Uniformity using Reticle Inspection Tool b Mark Wylie, b Trent Hutchinson, b Gang Pan, b Thomas Vavul, b John Miller, b Aditya Dayal, b Carl Hess a Mike Green, a Shad Hedges, a Dan Chalom,

More information

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device Hyung-Seop Kim, Yong Min Cho, Byoung-Ho Lee Semiconductor R&D Center, Device Solution Business, Samsung

More information

Hyper-NA imaging of 45nm node random CH layouts using inverse lithography

Hyper-NA imaging of 45nm node random CH layouts using inverse lithography Hyper-NA imaging of 45nm node random CH layouts using inverse lithography E. Hendrickx* a, A. Tritchkov b, K. Sakajiri b, Y. Granik b, M. Kempsell c, G. Vandenberghe a a IMEC, Kapeldreef 75, B-3001, Leuven,

More information

Figure 1 below shows the generic process flow of an LELE method of double patterning.

Figure 1 below shows the generic process flow of an LELE method of double patterning. Multilayer Double Patterning MCEE 505/605 LM&P Rajiv Sejpal (585) 622-8081 rns4256@rit.edu Dept. of Microelectronics Engineering, Rochester Institute of Technology GOAL Due to the delay in next generation

More information

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning SEMI Texas Spring Forum May 21, 2013 Austin, Texas Author / Company / Division / Rev. / Date A smartphone today has

More information

Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry

Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry 1 Kin Wai Tang, 1 Teng Hwee Ng, 1 Lei Huang, 1 Susan Ng, 1 Thomas Ku, 2 Wee Teck Chia, 2 Lin

More information

EUVL Readiness for High Volume Manufacturing

EUVL Readiness for High Volume Manufacturing EUVL Readiness for High Volume Manufacturing Britt Turkot Intel Corporation Outline Exposure Tool Progress Power Availability Intel demo results Reticle Defectivity Pellicle Materials Conclusion 2 Source

More information

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 2th Annual BACUS Symposium on Photomask Technology, SPIE Vol. 67- and is made available

More information

193 nm STEP AND SCAN LITHOGRAPHY

193 nm STEP AND SCAN LITHOGRAPHY 193 nm STEP AND SCAN LITHOGRAPHY Guy Davies, Judon Stoeldraijer, Barbra Heskamp, Jan Mulkens, Joost Sytsma, Hans Bakker ASML BV, De Run 111, 553 LA Veldhoven, The Netherlands Holger Glatzel, Christian

More information

Actinic review of EUV masks: First results from the AIMS EUV system integration

Actinic review of EUV masks: First results from the AIMS EUV system integration Invited Paper Actinic review of EUV masks: First results from the AIMS EUV system integration Markus R. Weiss* a, Dirk Hellweg a, Jan Hendrik Peters b, Sascha Perlitz b, Anthony Garetto b, Michael Goldstein

More information

Intra-wafer CDU characterization to determine process and focus contributions based on Scatterometry Metrology

Intra-wafer CDU characterization to determine process and focus contributions based on Scatterometry Metrology Intra-wafer CDU characterization to determine process and focus contributions based on Scatterometry Metrology Mircea Dusa a, Richard Moerman b, Bhanwar Singh c, Paul Friedberg c, Ray Hoobler d, Terrence

More information

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC The Impact of EUV on the Semiconductor Supply Chain Scotten W. Jones President IC Knowledge LLC sjones@icknowledge.com Outline Why EUV Who needs EUV EUV adoption roadmaps EUV wafer volume projections Impact

More information

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Title Spatial scaling metrics of mask-induced induced line-edge roughness Permalink https://escholarship.org/uc/item/5rc666c3

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Sensors and Metrology. Outline

Sensors and Metrology. Outline Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance 1 Seminar Spacer Lithography for Reduced Variability in MOSFET Performance Prof. Tsu-Jae King Liu Electrical Engineering & Computer Sciences Dept. University of California at Berkeley Graduate Student:

More information

Registration Measurement Capability of VISTEC LMS IPRO4 with Focus on Small Features

Registration Measurement Capability of VISTEC LMS IPRO4 with Focus on Small Features Registration Measurement Capability of VISTEC LMS IPRO4 with Focus on Small Features Christian Enkrich a, Gunter Antesberger a, Oliver Loeffler a, Klaus-Dieter Roeth b,franklaske b, Karl-Heinrich Schmidt

More information

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 ASML NXE Pellicle progress update Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 Contents Slide 2 Introduction: a look back at 2015 NXE Pellicle update Pellicle film development NXE Scanner

More information

Characterization of Optical Proximity Correction Features

Characterization of Optical Proximity Correction Features Characterization of Optical Proximity Correction Features John Allgair, Michelle Ivy, Kevin Lucas, John Sturtevant Motorola APRDL, Austin, TX 7871 Richard Elliott, Chris A. Mack, Craig MacNaughton, John

More information

Bossung Curves; an old technique with a new twist for sub-90 nm nodes Terrence E. Zavecz TEA Systems

Bossung Curves; an old technique with a new twist for sub-90 nm nodes Terrence E. Zavecz TEA Systems Phone: (+01) 610 682 4146 Email: Info@TEAsystems.com http://www.teasystems.com TEA Systems Corporation 65 Schlossburg St. Alburtis, PA 18011 USA Bossung Curves; an old technique with a new twist for sub-90

More information

Selective Processes: Challenges and Opportunities in Semiconductor Scaling

Selective Processes: Challenges and Opportunities in Semiconductor Scaling Selective Processes: Challenges and Opportunities in Semiconductor Scaling June 4, 2018 Kandabara Tapily TEL Technology Center, America, LLC IITC 2018 Selective Deposition Workshop K. Tapily/ IITC 2018

More information

IEUVI Mask Technical Working Group

IEUVI Mask Technical Working Group IEUVI Mask Technical Working Group Proposed changes P37/P38/P40 SEMI standards Thursday, Feb 28, 2008 / Slide 1 Introduction All of the recommendations are driven by the

More information

EUV Lithography Status and Key Challenges for HVM Implementation

EUV Lithography Status and Key Challenges for HVM Implementation EUV Lithography Status and Key Challenges for HVM Implementation Sam Intel Corporation Moore s Law at Intel 10 Feature Size (um) 100 Cell Area (sq um) 1 10 0.5x every 2 years 0.1 1 0.01 1970 1980 1990

More information

Tilted ion implantation as a cost-efficient sublithographic

Tilted ion implantation as a cost-efficient sublithographic Tilted ion implantation as a cost-efficient sublithographic patterning technique Sang Wan Kim 1,a), Peng Zheng 1, Kimihiko Kato 1, Leonard Rubin 2, Tsu-Jae King Liu 1 1 Department of Electrical Engineering

More information

Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation

Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation Masaya Kato a, Hideki Inuzuka a, Takeshi Kosuge a, Shingo Yoshikawa a, Koichi Kanno a, Hidemichi

More information

Design of Attenuated Phase-shift shift Mask with ITO Absorber for Extreme Ultraviolet Lithography

Design of Attenuated Phase-shift shift Mask with ITO Absorber for Extreme Ultraviolet Lithography MA-P18 7 EUVL Symposium Design of Attenuated Phase-shift shift Mask with Absorber for Extreme Ultraviolet Lithography Hee Young Kang and Chang Kwon Hwangbo Department of Physics, Inha University, Incheon

More information

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems Metrology, 61 Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems Manish Chandhok,, Sang H. Lee, Christof Krautschik, Guojing Zhang, Bryan

More information

Patterning Challenges and Opportunities: Etch and Film

Patterning Challenges and Opportunities: Etch and Film Patterning Challenges and Opportunities: Etch and Film Ying Zhang, Shahid Rauf, Ajay Ahatnagar, David Chu, Amulya Athayde, and Terry Y. Lee Applied Materials, Inc. SEMICON, Taiwan 2016 Sept. 07-09, 2016,

More information

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press Errata, by Chris Mack, chris@lithoguru.com While teaching out of this book at the University

More information

Across-wafer CD Uniformity Enhancement through Control of Multi-zone PEB Profiles

Across-wafer CD Uniformity Enhancement through Control of Multi-zone PEB Profiles Across-wafer CD Uniformity Enhancement through Control of Multi-zone PEB Profiles Qiaolin Zhang *a, Paul Friedberg b, Cherry Tang c Bhanwar Singh c, Kameshwar Poolla a, Costas J. Spanos b a Dept of Mechanical

More information

Progress on ASML s EUV Alpha Demo Tool

Progress on ASML s EUV Alpha Demo Tool Progress on ASML s EUV Alpha Demo Tool Noreen Harned 1, Peter Kuerz 3, Hans Meiling 2, Bas Mertens 5, Gregor van Baars 4 3rd International EUVL Symposium 2 November 2004 1 ASML, Wilton, CT; 2 ASML, Veldhoven,

More information

Use of Layout Automation and Design Based Metrology for Defect Test Mask Design and Verification

Use of Layout Automation and Design Based Metrology for Defect Test Mask Design and Verification Use of Layout Automation and Design Based Metrology for Defect Test Mask Design and Verification Chris Spence, Cyrus Tabery, Andre Poock *, Arndt C. Duerr #, Thomas Witte #, Jan Fiebig #, Jan Heumann #

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 5 MICRO AND NANOPROCESSING TECHNOLOGIES Introduction Ion lithography X-ray lithography Soft lithography E-beam lithography Concepts and processes Lithography systems Masks and resists Chapt.9.

More information

Lecture 14 Advanced Photolithography

Lecture 14 Advanced Photolithography Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes

More information

Overview of EUV Lithography and EUV Optics Contamination

Overview of EUV Lithography and EUV Optics Contamination Accelerating the next technology revolution Overview of EUV Lithography and EUV Optics Contamination Andrea Wüest NIST Contamination WS Gaithersburg, MD June 2, 2009 Copyright 2008 SEMATECH, Inc. SEMATECH,

More information

PERFORMANCE OF A STEP AND SCAN SYSTEM FOR DUV LITHOGRAPHY

PERFORMANCE OF A STEP AND SCAN SYSTEM FOR DUV LITHOGRAPHY PERFORMANCE OF A STEP AND SCAN SYSTEM FOR DUV LITHOGRAPHY G. de Zwart, M. van den Brink, R. George, D. Satriasaputra, J. Baselmans, H. Butler, J. van Schoot, J. de Klerk. This paper was first presented

More information

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by. PROCEEDINGS OF SPIE Alternative Lithographic Technologies VII Douglas J. Resnick Christopher Bencher Editors 23-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by DNS

More information

EUVL for HVM: Progress Update

EUVL for HVM: Progress Update EUVL for HVM: Progress Update Mark Phillips Intel Corporation EUVL Workshop, Maui, Hawaii, 17 June 2015, Mark Phillips (Intel) Outline EUV source and system performance EUV/193i complementary patterning

More information

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Uzodinma Okoroanyanwu, 1 Aiqin Jiang, 2 Kornelia Dittmar, 3 Torsten Fahr, 3 Thomas Laursen, 2 Obert Wood, 1 Kevin Cummings, 2 Christian

More information

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World,AIMS EUV Development Design Study Karl-Heinz Bechstein, Mathias Esselbach, Wolfgang Harnisch, Norbert Rosenkranz, Thomas Scherübl, Holger

More information

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing Britt Turkot Intel Corporation Outline Milestone Progress Exposure Tool Reticle Pellicle Infrastructure HVM Considerations

More information

Photomask. Mask effects for high-na EUV: impact of NA, chief-ray-angle, and reduction ratio N E W S. Take A Look Inside: Industry Briefs see page 12

Photomask. Mask effects for high-na EUV: impact of NA, chief-ray-angle, and reduction ratio N E W S. Take A Look Inside: Industry Briefs see page 12 Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. May 2013 Volume 29, Issue 5 Invited Paper - AL13 Mask effects for high-na EUV: impact of

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Photomask and X-Ray Mask Technology VII SPIE Vol. 466, pp. 172-179. It is made available

More information

Ebeam based mask repair as door opener for defect free EUV masks M. Waiblinger a, Tristan Bret a, R. Jonckheere b, D.

Ebeam based mask repair as door opener for defect free EUV masks M. Waiblinger a, Tristan Bret a, R. Jonckheere b, D. Ebeam based mask repair as door opener for defect free EUV masks M. Waiblinger a, Tristan Bret a, R. Jonckheere b, D. Van den Heuvel b a Carl Zeiss SMS GmbH - Carl-Zeiss-Promenade 10, 07745 Jena, / Industriestraße

More information

EUREKA: A new Industry EUV Research Center at LBNL

EUREKA: A new Industry EUV Research Center at LBNL EUREKA: A new Industry EUV Research Center at LBNL Patrick Naulleau Center for X-ray Optics Lawrence Berkeley National Laboratory Berkeley Lab MSD Materials Sciences Division 1 Operating model Core operational

More information

Optical Proximity Correction

Optical Proximity Correction Optical Proximity Correction Mask Wafer *Auxiliary features added on mask 1 Overlay Errors + + alignment mask wafer + + photomask plate Alignment marks from previous masking level 2 (1) Thermal run-in/run-out

More information

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography 1 Reference 1. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (2001) 2. - (2004) 3. Semiconductor Physics and Devices-

More information

UV2Litho Usable Vacuum Ultra Violet Lithography

UV2Litho Usable Vacuum Ultra Violet Lithography UV2Litho Usable Vacuum Ultra Violet Lithography A.M. Goethals, R. Jonckheere, F. Van Roey, Jan Hermans, A. Eliat, K. Ronse (IMEC) P. Wong (ASML) P. Zandbergen (Philips) M. Vasconi, E. Severgnini (STMicroelectronics

More information

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center Functional Materials for Advanced Patterning Robert D. Allen Business Unit or Product Name IBM Almaden Research Center 2003 IBM Corporation Resists/Materials for Advanced Patterning Trends in Lithography

More information

Analysis of carbon contamination on EUV mask using CSM/ ICS

Analysis of carbon contamination on EUV mask using CSM/ ICS Analysis of carbon contamination on EUV mask using CSM/ ICS Jae Uk Lee 1, Chang Young Jeong 1, Sangsul Lee 1, Jong Gul Doh 1,, Dong Geun Lee 2, Seong-Sue Kim 2, Han-Ku Cho 2, Seung-yu Rah 3 and Jinho Ahn

More information

More on Stochastics and the Phenomenon of Line-Edge Roughness

More on Stochastics and the Phenomenon of Line-Edge Roughness More on Stochastics and the Phenomenon of Line-Edge Roughness Chris A. Mack 34 th International Photopolymer Science and Technology Conference Chiba, Japan, June 28, Conclusions We need more than just

More information

Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process

Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process Mandar Bhave, Kevin Edwards, Carlton Washburn Brewer Science, Inc., 2401 Brewer Dr., Rolla, MO 65401,

More information

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT Photolithography Evolution 1 : Evolution 2 Photomasks Substrates: Type : thermal expansion Chrome Pellicles Mask: OPC and PSM Fabrication: E-Beam or Laser 3 Photomask Information Websites: http://www.photronics.com/internet/corpcomm/publications/basics101/basics.

More information

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA EUV Litho, June 15 th, 2016 Luc Girard 1, Lou Marchetti 1, Jim Kennon 2, Bob Kestner 2, Regina Soufli 3, Eric Gullickson 4 1 Zygo Corporation,

More information

We published the text from the next page.

We published the text from the next page. Title:Shedding light on EUV mask inspection Authors:Kazunori Seki, Karen Badger, Emily Gallagher, Toshio Konishi, Gregory McIntyre Publisher:Photomask Japan 2012(SPIE) Citation:Kazunori Seki, Karen Badger,

More information

Accurate and Reliable Optical CD of MuGFET down to 10nm

Accurate and Reliable Optical CD of MuGFET down to 10nm Accurate and Reliable Optical D of MuGFET down to 1nm P Leray 1, G F Lorusso 1, heng 1, N ollaert 1, M Jurczak 1, hirke 2 1 IME, Kapeldreef 75, B31, Leuven, Belgium 2 VLI tandard, 387 N 1st treet an Jose

More information

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial Marks for EUV mask blanks Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial marks are laser scribed on 200 mm wafers to enable defect registration on metrology

More information

Measurement of EUV scattering from Mo/Si multilayer mirrors

Measurement of EUV scattering from Mo/Si multilayer mirrors Measurement of EUV scattering from Mo/Si multilayer mirrors N. Kandaka, T. Kobayashi, T. Komiya, M. Shiraishi, T. Oshino and K. Murakami Nikon Corp. 3 rd EUVL Symposium Nov. 2-4 2004 (Miyazaki, JAPAN)

More information

Actual Measurement Data Obtained On New 65nm Generation Mask Metrology Tool Set

Actual Measurement Data Obtained On New 65nm Generation Mask Metrology Tool Set Actual Measurement Data Obtained On New 65nm Generation Mask Metrology Tool Set Jochen Bender, Michael Ferber, Klaus-Dieter Röth, Gerhard Schlüter, Walter Steinberg, Leica Microsystems Semiconductor GmbH,

More information

Nanoimprint Lithography

Nanoimprint Lithography Nanoimprint Lithography Wei Wu Quantum Science Research Advanced Studies HP Labs, Hewlett-Packard Email: wei.wu@hp.com Outline Background Nanoimprint lithography Thermal based UV-based Applications based

More information

Taurus-Topography. Topography Modeling for IC Technology

Taurus-Topography. Topography Modeling for IC Technology SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD Aurora DFM WorkBench Davinci Medici Raphael Raphael-NES Silicon Early Access TSUPREM-4 Taurus-Device Taurus-Lithography

More information

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure A. Abdo, ab L. Capodieci, a I. Lalovic, a and R. Engelstad b a Advanced

More information

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Senichi Nishibe a, Thaddeus Dziura a, Venkat Nagaswami a, Roel Gronheid b a KLA-Tencor Corporation, 1 Technology Drive, Milpitas

More information

Registration Error Terms: Grid: Wafer Terms and Field IFD

Registration Error Terms: Grid: Wafer Terms and Field IFD Registration Error Terms: Grid: Wafer Terms and Field IFD Grid Rotat ion Grid Skew System Skew Field Skew Field Rotation Grid Y Mag Grid X Mag Field IsoMag Field Y (optical) Mag Field X (scan) Mag Grid

More information

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices 1 UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices Katsuya Watanabe

More information

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 21 st Annual BACUS Symposium on Photomask Technology SPIE Vol. 4562, pp. 486-495.

More information

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node Darren Taylor Photronics, Allen TX 75013 Anthony Vacca, Larry Zurbrick KLA Tencor, 160 Rio Robles, San

More information

Silicon VLSI Technology. Fundamentals, Practice and Modeling

Silicon VLSI Technology. Fundamentals, Practice and Modeling Text Book: Silicon VLSI Technology Fundamentals, Practice and Modeling Authors: J. D. Plummer, M. D. Deal, and P. B. Griffin Photolithography (Chap. 1) Basic lithography process Apply photoresist Patterned

More information

The MEEF Shall Inherit the Earth

The MEEF Shall Inherit the Earth The MEEF Shall Inherit the Earth. 1 1 2 2.3 4 Will Conley, Cesar Garza, Mircea Dusa, Robert Socha, Joseph Bendik, Chris Mack 1Motorola Austin, TX 2ASML Mask Tools San Jose, CA 3Dynamic Intelligence Inc.

More information

Extending the Era of Moore s Law

Extending the Era of Moore s Law 14 nm chip X SEM from www.intel.com/content/dam/www/public/us/en/documents/pdf/foundry/mark bohr 2014 idf presentation.pdf Extending the Era of Moore s Law Tsu Jae King Liu Department of Electrical Engineering

More information

Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010

Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010 Approved by: Process Engineer / / / / Equipment Engineer 1 SCOPE The purpose of this document is to detail the use of the ASML PAS 5500 Stepper. All users are expected to have read and understood this

More information

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations Chapter 2 Process Variability Overview Parameter variability has always been an issue in integrated circuits. However, comparing with the size of devices, it is relatively increasing with technology evolution,

More information

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION METROLOGY FOR

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION METROLOGY FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2001 EDITION METROLOGY TABLE OF CONTENTS Scope... 1 Infrastructure Needs... 2 Difficult Challenges... 2 Technology Requirements... 4 Measurements for

More information

* AIT-4: Aberrations. Copyright 2006, Regents of University of California

* AIT-4: Aberrations. Copyright 2006, Regents of University of California Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. AIT-: LER and Chemically Amplified Resists

More information

A Reticle Correction Technique to Minimize Lens Distortion Effects

A Reticle Correction Technique to Minimize Lens Distortion Effects A Reticle Correction Technique to Minimize Lens Distortion Effects Warren W. Flack, Gary E. Flores, Alan Walther and Manny Ferreira Ultratech Stepper, Inc. San Jose, CA 95134 Mix-and-match lithography

More information

IC Fabrication Technology

IC Fabrication Technology IC Fabrication Technology * History: 1958-59: J. Kilby, Texas Instruments and R. Noyce, Fairchild * Key Idea: batch fabrication of electronic circuits n entire circuit, say 10 7 transistors and 5 levels

More information

ASML Approach to Euv Reticle Handling

ASML Approach to Euv Reticle Handling ASML Approach to Euv Reticle Handling Mask Workshop Antwerp Henk Meijer 3-October-2003 / Slide 1 Presentation Agenda Unique features of EUV reticles Contamination

More information

Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XVI, SPIE Vol. 5040, pp. 151-161. It is made available

More information

Story. Cover. An Automated Method for Overlay Sample Plan Optimization

Story. Cover. An Automated Method for Overlay Sample Plan Optimization Cover Story An Automated Method for Overlay Sample Plan Optimization Xuemei Chen, Moshe E. Preil, KLA-Tencor Corporation Mathilde Le Goff-Dussable, Mireille Maenhoudt, IMEC, Leuven, Belgium In this paper,

More information

Critical Dimension Control and its Implications in IC Performance

Critical Dimension Control and its Implications in IC Performance 1 Critical Dimension Control and its Implications in IC Performance Costas J. Spanos FLCC, 10/23/06 2 Critical Dimension in Perspective (Leff in particular) Controls both leakage and saturation current

More information

The study for image placement repeatability of EUV mask on the flat chuck

The study for image placement repeatability of EUV mask on the flat chuck The study for image placement repeatability of EUV mask on the flat chuck Shusuke Yoshitake, Hitoshi Sunaoshi, Shuichi Tamamushi, Soichiro Mitsui 1, Munehiro Ogasawara 1, Takeyuki Yamada 2, Tsutomu Shoki

More information

Fall 2003 EE290H Tentative Weekly Schedule

Fall 2003 EE290H Tentative Weekly Schedule Fall 2003 EE290H Tentative Weekly Schedule 1. Functional Yield of ICs and DFM. 2. Parametric Yield of ICs. 3. Yield Learning and Equipment Utilization. 4. Statistical Estimation and Hypothesis Testing.

More information

Resist-outgas testing and EUV optics contamination at NIST

Resist-outgas testing and EUV optics contamination at NIST 1 2012 International Workshop on EUVL, Maui, HI Resist-outgas testing and EUV optics contamination at NIST Shannon Hill, Nadir Faradzhev, Charles Tarrio, Steve Grantham, Lee Richter and Tom Lucatorto National

More information