Cost Implications of EUV Lithography Technology Decisions

Size: px
Start display at page:

Download "Cost Implications of EUV Lithography Technology Decisions"

Transcription

1 Accelerating the next technology revolution Cost Implications of EUV Lithography Technology Decisions Andrea F. Wüest, SEMATECH Andrew J. Hazelton, Nikon Corporation Greg Hughes, SEMATECH Lloyd C. Litt, SEMATECH Frank Goodwin, SEMATECH Copyright 2008 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.

2 Overview Introduction / Motivation Calculation Procedure Results EUVL Considerations Conclusions 2

3 Motivation Leading edge litho cost will increase dramatically for the 32 nm half-pitch (hp) node Miniaturization of devices continuing at the same pace Identify parameters for cost-effective lithography at 32 nm and 22 nm hp 3

4 Overview Introduction / Motivation Calculation Procedure Results EUVL Considerations Conclusions 4

5 Candidate Technologies 32 nm hp ArFi DPL: LELE, Freeze, Spacer High-index ArFi SE EUVL 22 nm hp ArFi DPL: LELE, Freeze, Spacer High-index ArFi DPL: LELE EUVL Nanoimprint SE: Single Exposure, DPL: Double Patterning LELE: Litho-Etch-Litho-Etch 5

6 Process Flows (schematic) Deposit hardmask(s) Coat, expose, develop ArFi SE Etch hardmask, Strip resist Coat, expose, develop DPL LELE (Line) Freeze Freeze resist Coat, expose, develop Etch hardmask Deposit spacer, Etch back spacer Remove hardmask lines Coat, expose, develop (cut mask) Spacer EUV Imprint Imprint For all flows at end: Etch hardmask, Strip resist, Etch pattern, Strip hardmask 6

7 Assumptions All technologies are equally reliable and support equal yield. All technologies meet manufacturing requirements. Double Patterning Stepper overlay Mask registration Mask yield (with 30 hour write time) Yield even though with more processing steps EUVL Defect-free masks Source power, tool transmission, and resist sensitivity enable throughput Tool reliability supports uptime Mask and optics meet lifetime requirements Imprint 1 defect-free masks Tool meets throughput (15 improvement over today) Imprint defects Mask lifetime Mask 1 inspection 7

8 Calculation Procedure $ / yr General ( C fixed + Crecur ) COO = + Cmaterials + C T U Y other $ / wafer wafer / h h / yr $ / wafer $ / wafer C fixed Depreciation, Floor space ($/yr) C materials Resist, etc. ($/wafer) C recur Utilities, Consumables, Labor ($/yr) C other Other ($/wafer) T Throughput (wafer / h) U Utilization (%) Y Yield (%) 8

9 Calculation Procedure Linear relationship Lithography ( C fixed + Crecur ) COO = + Cresist + T U Y C N reticle wpr Inversely proportional: large effect C fixed Depreciation, Floor space ($/yr) Cresist Resist, etc. ($/wafer) C recur T Utilities, Consumables, Labor ($/yr) Throughput (wafer / h) C reticle N wpr Reticle ($) Wafer / reticle U Y Utilization (%) Yield (%) 9

10 Calculation Procedure Calculate COO for each process step Litho Deposition Etch Metrology Clean Process flow # of different process steps Total COO = Σ (Process Cost #Process Steps) Only one critical layer calculated Normalized to 45 nm ArFi SE 10

11 Model Parameters 45 nm hp 32 nm hp ArFi SE ArFi DPL HI ArFi EUVL LELE Freeze Spacer Tool Cost $40M $49M $49M $49M $50M $54M Throughput / wph Tool Cost / TPT (M$/wph) Reticle Cost $200k $584k $584k $466K $396k $178K 45 nm hp 22 nm hp ArFi SE ArFi DPL HI ArFi DPL EUVL NIL LELE Freeze Spacer LELE Tool Cost $40M $52M $52M $52M $53M $89M Throughput / wph Tool Cost / TPT (M$/wph) Reticle Cost $200k $1176K $1176K $752K $1176K $252K $622k Tool cost based on historical extrapolation, scales with throughput and resolution 11

12 Model Parameters Reticle cost (SEMATECH model, G. Hughes) Mask Cost = [ (Capital Cost term Write Time) + Material Cost ] / Yield 2.5 data growth per node for optical 2 data growth for EUV and Imprint Mask yield based on ITRS difficulty 45 nm: 70% EUVL: 77% (Yield targets looser) DPL: 63% (Mask registration tighter) Imprint: 54% (Defect specs much tighter, minimum feature 1 ). Utilization fixed at 83%, yield at 98% for all technologies. Non-litho process costs based on SEMATECH data ~ 250 total parameters (~ 25 per litho technology) 12

13 Overview Introduction / Motivation Calculation Procedure Results EUVL Considerations Conclusions 13

14 Overview 20,000 Wafers/Mask 45 nm 32 nm 22 nm 250% 250% 20,000 wpm: Reticle cost 200% 20,000 wpm: Reticle cost % % % 100% 100% Reticle Clean Etch Metrology Deposition Litho Reticle Clean Etch Metrology Deposition Litho 50% 50% 0% 0% Technology (wph) 45 nm ArFi SE (125) 32 nm LELE (180) 32 nm Freeze (180) 32 nm Spacer (180) 32 nm HI ArFi SE (120) 32 nm EUVL (50) 22 nm LELE (200) 22 nm Freeze (200) 22 nm Spacer (200) 22 nm HI LELE (135) 22 nm EUVL (100) 14

15 Overview 1,000 Wafers/Mask 45 nm 32 nm 22 nm 500% 500% 1,000 wpm: Reticle cost % 450% 1,000 wpm: 400% % 90 % 300% 300% 250% 250% 200% 200% 150% 150% Reticle Clean Etch Metrology Deposition Litho Reticle Clean Etch Metrology Deposition Litho 100% 100% 50% 50% 45 nm ArFi SE (125) 32 nm LELE (180) 32 nm Freeze (180) 32 nm Spacer (180) 32 nm HI ArFi SE (120) 32 nm EUVL (50) 22 nm LELE (200) 22 nm Freeze (200) 22 nm Spacer (200) 22 nm HI LELE (135) 0% 0% Technology (wph) 22 nm EUVL (100) 22 nm Imprint ($0.3M/wph) 15

16 Error Estimation (20,000 Wafers/Mask) 300% 300% 250% 250% 200% 200% 10 % error on parameters ~15 % error on CoO (U and Y kept constant) 150% 150% 100% 100% 50% 50% 0% 45 nm ArFi SE (1 25) 32 nm LELE (180) 32 nm Freeze (180) 32 nm Spacer (180) 32 nm HI ArFi SE (120) 32 nm EUVL (50) 22 nm LELE (200) 22 nm Freeze (200) 22 nm Spacer (200) 22 nm HI LELE (135) 22 nm EUVL (100) 0% 16

17 Results - 32 nm hp (20,000 w/m) 45 nm 32 nm 200% 200% DPL most expensive because of reticle, 160% deposition and etch costs 140% DPL most expensive 180% 120% 120% 100% 100% 80% 80% 60% 60% Reticle Clean Etch Reticle Clean Etch Metrology Deposition Litho Metrology Deposition Litho 40% 40% 20% 20% 0% Technology (wph) 0% 45 nm ArFi SE (125 wph) ArFi SE (125) 32 nm ArFi DPL LELE (180 wph) ArFi DPL (180) 32 nm ArFi DPL Freeze (180 wph) ArFi DPL Freeze (180) 32 nm ArFi DPL Spacer (180 wph) ArFi DPL Spacer (180) 32 nm HI ArFi SE (120 wph) HI ArFi SE (120) 32 nm EUVL (50 wph) EUVL (50) High EUV litho cost because of capital cost 17

18 Results - 22 nm hp (20,000 w/m) 45 nm 22 nm 250% 200% High reticle costs for DPL Cost advantage of EUVL 150% 150% 100% 100% Reticle Clean Etch Metrology Metrology Deposition Deposition Litho Litho 50% 50% 0% 0% Technology (wph) 45 nm ArFi SE (125 wph) ArFi SE (125) 22 nm ArFi DPL LELE (200 wph) ArFi DPL LELE (200) 22 nm ArFi DPL Freeze (200 wph) ArFi DPL Freeze (200) 22 nm ArFi DPL Spacer (200 wph) ArFi DPL Spacer (200) 22 nm HI HI ArFi ArFi DPL LELE (135 wph) DPL LELE (135) 22 nm EUVL (100 wph) EUVL (100) 18

19 Overview Introduction / Motivation Calculation Procedure Results EUVL Considerations Conclusions 19

20 EUVL Cost Parameters Contribution of individual parameters to COO? Sensitivity Analysis: What are the target values of cost parameters such that EUVL remains as cost-effective as LELE? 20

21 EUVL Cost Parameters Contribution of individual parameters to COO? Sensitivity Analysis: What are the target values of cost parameters such that EUVL remains as cost-effective as LELE? 21

22 EUVL: Equipment Costs Equipment 72% Equipment 72% Consumables 19% 32 nm (50 wph) Litho cost excluding reticle Consumables 19% Labor Labor 2% Facilities 2% 2% Facilities 2% Materials Utilities 4% 1% Utilities 1% Materials 4% Equipment main cost factor Equipment 69.4% Consumables 21.9% Equipment, 69.4% Consumables, 21.9% Labor, 1.3% 1.3% Facilities 1.1% Facilities, 1.1% Materials, 5.8% Utilities, 0.4% Utilities 0.4% Materials 5.8% 22 nm (100 wph) Litho cost excluding reticle 22

23 EUVL: Consumable Costs Equipment 72% Equipment 72% Consumables 19% 32 nm (50 wph) Litho cost excluding reticle Consumables 19% Labor 2% Labor 2% Facilities 2% Facilities 2% Utilities 1% Materials Utilities 4% 1% Materials 4% Consumables and materials (resist) are significant cost factor Equipment 69.4% Consumables 21.9% Equipment, 69.4% Consumables, 21.9% Labor, 1.3% 1.3% Facilities 1.1% Facilities, 1.1% Materials, 5.8% Utilities, 0.4% Utilities 0.4% Materials 5.8% 22 nm (100 wph) Litho cost excluding reticle 23

24 EUVL: Labor, Facilities, Utilities Costs Equipment 72% Equipment 72% Consumables 19% Consumables 19% 32 nm (50 wph) Litho cost excluding reticle Labor Labor Facilities 2% 2% 2% Facilities 2% Materials 4% Utilities 1% 1% Materials 4% Labor, facilities and utilities very small contribution Equipment 69.4% Consumables 21.9% Equipment, 69.4% Consumables, 21.9% Labor, 1.3% 1.3% Facilities 1.1% Facilities, 1.1% Materials, 5.8% Materials Utilities, 0.4% Utilities 0.4% 5.8% 22 nm (100 wph) Litho cost excluding reticle 24

25 EUVL: Power Consumption Costs Equipment 72% Equipment 73% Consumables 19% 32 nm (50 wph) Litho cost excluding reticle Equipment 69.4% Materials Utilities 3% Labor Facilities Utilities 1% 2% 2% Labor 2% Facilities 2% 1% Consumables 21.9% Consumables 19% Materials 4% Even for power consumption of 200 kw (based on 20 kw CO 2 laser for LPP) facilities cost is negligible: 1-2 % of total litho cost excluding reticle. Equipment, 69.4% Consumables, 21.9% Labor, 1.3% Materials, 5.8% Utilities, 0.4% Labor Utilities 1.3% Facilities, 1.1% 0.4% 1.1% Materials 5.8% 22 nm (100 wph) Litho cost excluding reticle 25

26 EUVL Cost Parameters Contribution of individual parameters to CoO? Sensitivity Analysis: What are the target values of cost parameters such that EUVL remains as cost-effective as LELE? 26

27 EUVL Throughput Sensitivity Analysis Normalized Cost per wafer (to 45 nm ArFi SE) Normalized Cost per wafer (to 45 nm ArFi SE) 260% 240% 220% 200% 180% 32 nm cross over: ~ 35 wph 160% 140% 22 nm cross over: wph 32 nm 50 wph $54M 22 nm ArFi DPL LELE (200 wph) 32 nm ArFi DPL LELE (180 wph) 22 nm 100 wph $89M 120% EUVL (wph) EUVL Throughput (wph) 20,000 wpm ArFi DPL LELE (180 wph) EUVL (variable) EUVL constant ArFi DPL LELE (200 wph) EUVL variable EUVL constant Constant and variable tool price as function of throughput assumed 27

28 EUVL Sensitivity Analysis Repeat analysis for different wafer/mask values Perform same analysis for EUVL utilization Comparing to LELE 180 wph LELE 200 wph LELE 83 % LELE 83 % Wafers / mask EUVL Throughput (wph) EUVL Utilization 32 nm 22 nm 32 nm 22 nm 1,000 < 10 < 5 < 10 % < 10 % 20, / / 45 60% 35% 50, / / 60 75% 50% 100, / / 70 83% 60% The numbers on the left/right correspond to variable/constant tool price. Throughput > 30 wph needed (U kept fixed) Utilization can be lower than LELE (TPT kept fixed) 28

29 Overview Introduction / Motivation Calculation Procedure Results EUVL Considerations Conclusions 29

30 Conclusions At 22 nm hp, EUVL has cost advantages over DPL because of fewer process steps and lower reticles costs EUVL equipment cost contributes strongly, facilities negligible EUVL throughput > 30 wph required to be as costeffective as LELE (under assumptions made) Except for EUVL, reticles are significant cost component (even at 20,000 wpm) 30

31 Acknowledgements Dennis Fandel, Jackie Ferrelll, Jacque Georger, Chawon Koh, Bob Rulliffson, Phil Seidel, Larry Smith, Robert Wright (SEMATECH) Will Conley (Freescale), Rob Crowell (TEL),Hiroyuki Mizuno (Toshiba), Nick Stacey (Molecular Imprints), Obert Wood (AMD) Céline Lapeyre (CEA-LETI Minatec), Gary Zhang (Rohm and Haas) 31

Cost of Ownership Considerations for Maskless Lithography

Cost of Ownership Considerations for Maskless Lithography Accelerating the next technology revolution Cost of Ownership Considerations for Maskless Lithography Lloyd C. Litt, SEMATECH Andrea F. Wüest, SEMATECH Copyright 2008 SEMATECH, Inc. SEMATECH, and the SEMATECH

More information

Overview of EUV Lithography and EUV Optics Contamination

Overview of EUV Lithography and EUV Optics Contamination Accelerating the next technology revolution Overview of EUV Lithography and EUV Optics Contamination Andrea Wüest NIST Contamination WS Gaithersburg, MD June 2, 2009 Copyright 2008 SEMATECH, Inc. SEMATECH,

More information

SEMATECH Knowledge Series 2010

SEMATECH Knowledge Series 2010 SEMATECH Knowledge Series 2010 Summary of the SEMATECH Workshop on Directed Self Assembly Lloyd Litt SEMATECH/GF Bill Hinsberg - IBM 20 October 2010 Kobe, Japan Copyright 2010 Advanced Materials Research

More information

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Advanced Materials Research Center, AMRC, International SEMATECH Manufacturing Initiative, and

More information

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC The Impact of EUV on the Semiconductor Supply Chain Scotten W. Jones President IC Knowledge LLC sjones@icknowledge.com Outline Why EUV Who needs EUV EUV adoption roadmaps EUV wafer volume projections Impact

More information

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial Marks for EUV mask blanks Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial marks are laser scribed on 200 mm wafers to enable defect registration on metrology

More information

EUVL Readiness for High Volume Manufacturing

EUVL Readiness for High Volume Manufacturing EUVL Readiness for High Volume Manufacturing Britt Turkot Intel Corporation Outline Exposure Tool Progress Power Availability Intel demo results Reticle Defectivity Pellicle Materials Conclusion 2 Source

More information

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM U.S. -KOREA Forums on Nanotechnology 1 CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM February 17 th 2005 Eung-Sug Lee,Jun-Ho Jeong Korea Institute of Machinery & Materials U.S. -KOREA Forums

More information

EUV Lithography Towards Industrialization

EUV Lithography Towards Industrialization EUV Lithography Towards Industrialization Wim van der Zande, Director of Research, ASML Dublin Meeting November 2014 Slide 2 Agenda EUV benefit and status at customers Towards higher productivity Summary

More information

SHRINK. STACK. INTEGRATE.

SHRINK. STACK. INTEGRATE. SHRINK. STACK. INTEGRATE. SUSS MICROTEC PRODUCT PORTFOLIO SHAPING THE FUTURE With more than 60 years of engineering experience SUSS MicroTec is a leading supplier of process equipment for microstructuring

More information

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure A. Abdo, ab L. Capodieci, a I. Lalovic, a and R. Engelstad b a Advanced

More information

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond Christof Krautschik Technology & Manufacturing Group External Programs Intel, Santa Clara, CA October

More information

Lecture 14 Advanced Photolithography

Lecture 14 Advanced Photolithography Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes

More information

Evolution of Optical Lithography towards 22nm and beyond Donis Flagello

Evolution of Optical Lithography towards 22nm and beyond Donis Flagello Evolution of Optical Lithography towards 22nm and beyond Donis Flagello Slide 1 ArF water immersion lithography cannot image 32 nm HP in SE 200 Resolution, Shrink (nm) 100 80 60 40 30 AT:1200 Logic NAND

More information

Impact of Pellicle on Overlay in Double Patterning Lithography

Impact of Pellicle on Overlay in Double Patterning Lithography Impact of Pellicle on Overlay in Double Patterning Lithography Oliver Loeffler 1, Frank Laske 2, Michael Ferber 2, Klaus-Dieter Roeth 2, Lin Chua 3, You Seung Jin 3, Gino Marcuccilli 3, Venkat Nagaswami

More information

Progress on ASML s EUV Alpha Demo Tool

Progress on ASML s EUV Alpha Demo Tool Progress on ASML s EUV Alpha Demo Tool Noreen Harned 1, Peter Kuerz 3, Hans Meiling 2, Bas Mertens 5, Gregor van Baars 4 3rd International EUVL Symposium 2 November 2004 1 ASML, Wilton, CT; 2 ASML, Veldhoven,

More information

Status of EUV Sources for Mask Metrology

Status of EUV Sources for Mask Metrology Status of EUV Sources for Mask Metrology Vivek Bakshi, Ph.D. EUV Litho Inc. 10202 Womack Road, Austin, TX 78748 USA www.euvlitho.com vivek.bakshi@euvlitho.com Outline Background Current Technology Status

More information

EUV Lithography Status and Key Challenges for HVM Implementation

EUV Lithography Status and Key Challenges for HVM Implementation EUV Lithography Status and Key Challenges for HVM Implementation Sam Intel Corporation Moore s Law at Intel 10 Feature Size (um) 100 Cell Area (sq um) 1 10 0.5x every 2 years 0.1 1 0.01 1970 1980 1990

More information

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML Double patterning for 32nm and below: an update Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML Mireille Maenhoudt, Shaunee Cheng, Tom Vandeweyer IMEC / Slide 1

More information

EUV lithography industrialization for HVM

EUV lithography industrialization for HVM EUV lithography industrialization for HVM Michael Lercel Director, Strategic Marketing, Tokyo Outline Slide 2 NXE Roadmap NXE:3400B performance Reticle front-side defectivity EUV source roadmap EUV extendibility

More information

Chromeless Phase Lithography (CPL)

Chromeless Phase Lithography (CPL) Chromeless Phase Lithography (CPL) Chromeless Phase Lithography or CPL is a recent development in the area of phase shifting technology that is extending the perceived k 1 limits and has the potential

More information

Sensors and Metrology. Outline

Sensors and Metrology. Outline Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

Critical Dimension Uniformity using Reticle Inspection Tool

Critical Dimension Uniformity using Reticle Inspection Tool Critical Dimension Uniformity using Reticle Inspection Tool b Mark Wylie, b Trent Hutchinson, b Gang Pan, b Thomas Vavul, b John Miller, b Aditya Dayal, b Carl Hess a Mike Green, a Shad Hedges, a Dan Chalom,

More information

Double patterning for 32nm and below: an update. ASML US Inc, 4211 Burton Dr. Santa Clara, CA, USA. IMEC vzw, Kapeldreef 75, B-3001 Heverlee, Belgium;

Double patterning for 32nm and below: an update. ASML US Inc, 4211 Burton Dr. Santa Clara, CA, USA. IMEC vzw, Kapeldreef 75, B-3001 Heverlee, Belgium; Double patterning for 32nm and below: an update Jo Finders (a), Mircea Dusa (b) Bert Vleeming (a) Henry Megens (a) Birgitt Hepp (a) Mireille Maenhoudt (c), Shaunee Cheng (c), Tom Vandeweyer (c) (a) ASML,

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 5 MICRO AND NANOPROCESSING TECHNOLOGIES Introduction Ion lithography X-ray lithography Soft lithography E-beam lithography Concepts and processes Lithography systems Masks and resists Chapt.9.

More information

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing Britt Turkot Intel Corporation Outline Milestone Progress Exposure Tool Reticle Pellicle Infrastructure HVM Considerations

More information

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center Functional Materials for Advanced Patterning Robert D. Allen Business Unit or Product Name IBM Almaden Research Center 2003 IBM Corporation Resists/Materials for Advanced Patterning Trends in Lithography

More information

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA EUV Litho, June 15 th, 2016 Luc Girard 1, Lou Marchetti 1, Jim Kennon 2, Bob Kestner 2, Regina Soufli 3, Eric Gullickson 4 1 Zygo Corporation,

More information

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH High NA the Extension Path of EUV Lithography Dr. Tilmann Heil, Carl Zeiss SMT GmbH Introduction This talk is about resolution. Resolution λ = k 1 NA High-NA NA 0.33 0.4 0.5 0.6 Resolution @ k1=0.3 single

More information

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine Content EUV lithography: History and status EUV sources- historical perspective: Age of choice Age of Xe Age of Sn Age

More information

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 ASML NXE Pellicle progress update Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 Contents Slide 2 Introduction: a look back at 2015 NXE Pellicle update Pellicle film development NXE Scanner

More information

Actinic review of EUV masks: First results from the AIMS EUV system integration

Actinic review of EUV masks: First results from the AIMS EUV system integration Invited Paper Actinic review of EUV masks: First results from the AIMS EUV system integration Markus R. Weiss* a, Dirk Hellweg a, Jan Hendrik Peters b, Sascha Perlitz b, Anthony Garetto b, Michael Goldstein

More information

Figure 1 below shows the generic process flow of an LELE method of double patterning.

Figure 1 below shows the generic process flow of an LELE method of double patterning. Multilayer Double Patterning MCEE 505/605 LM&P Rajiv Sejpal (585) 622-8081 rns4256@rit.edu Dept. of Microelectronics Engineering, Rochester Institute of Technology GOAL Due to the delay in next generation

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography February 26, 2009 Shinji Tarutani FUJIFILM Corporation Research & Development Management Headquarters Electronic Materials Research

More information

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device Hyung-Seop Kim, Yong Min Cho, Byoung-Ho Lee Semiconductor R&D Center, Device Solution Business, Samsung

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

RS 1700/1900/2300/2900 High Density Reticle Stockers

RS 1700/1900/2300/2900 High Density Reticle Stockers RS 1700/1900/2300/2900 High Density Reticle Stockers CONTAMINATION CONTROL SOLUTIONS Benefits Secure reticle storage Tec-Cell technology Prolongs the reticle lifetime Fast reticle access time High storage

More information

A Reticle Correction Technique to Minimize Lens Distortion Effects

A Reticle Correction Technique to Minimize Lens Distortion Effects A Reticle Correction Technique to Minimize Lens Distortion Effects Warren W. Flack, Gary E. Flores, Alan Walther and Manny Ferreira Ultratech Stepper, Inc. San Jose, CA 95134 Mix-and-match lithography

More information

Towards 3nm overlay and critical dimension uniformity: an integrated error budget for double patterning lithography

Towards 3nm overlay and critical dimension uniformity: an integrated error budget for double patterning lithography Towards 3nm overlay and critical dimension uniformity: an integrated error budget for double patterning lithography Bill Arnold SPIE Advanced Lithography Optical SPIE 69-3 / Slide Outline Double patterning

More information

Yield. Economics. Victor Ovchinnikov. Chapters 36, 37

Yield. Economics. Victor Ovchinnikov. Chapters 36, 37 Yield. Economics Victor Ovchinnikov Chapters 36, 37 Previous material CMOS Released structural layers MEMS Multilayer metallization Aalto Nanofab, 2017 Microfabrication 2 Content Yield definition Yield

More information

Optical Proximity Correction

Optical Proximity Correction Optical Proximity Correction Mask Wafer *Auxiliary features added on mask 1 Overlay Errors + + alignment mask wafer + + photomask plate Alignment marks from previous masking level 2 (1) Thermal run-in/run-out

More information

Lecture 8. Photoresists and Non-optical Lithography

Lecture 8. Photoresists and Non-optical Lithography Lecture 8 Photoresists and Non-optical Lithography Reading: Chapters 8 and 9 and notes derived from a HIGHLY recommended book by Chris Mack, Fundamental Principles of Optical Lithography. Any serious student

More information

Discussions start next week Labs start in week 3 Homework #1 is due next Friday

Discussions start next week Labs start in week 3 Homework #1 is due next Friday EECS141 1 Discussions start next week Labs start in week 3 Homework #1 is due next Friday Everyone should have an EECS instructional account Use cory, quasar, pulsar EECS141 2 1 CMOS LEAKAGE CHARACTERIZATION

More information

Development status of back-end process for UV-NIL template fabrication

Development status of back-end process for UV-NIL template fabrication Development status of back-end process for UV-NIL template fabrication Yuichi Inazuki, Kimio Itoh, Sho Hatakeyama, Kouichirou Kojima, Masaaki Kurihara, Yasutaka Morikawa, Hiroshi Mohri and Naoya Hayashi

More information

We published the text from the next page.

We published the text from the next page. Title:Shedding light on EUV mask inspection Authors:Kazunori Seki, Karen Badger, Emily Gallagher, Toshio Konishi, Gregory McIntyre Publisher:Photomask Japan 2012(SPIE) Citation:Kazunori Seki, Karen Badger,

More information

High Optical Density Photomasks For Large Exposure Applications

High Optical Density Photomasks For Large Exposure Applications High Optical Density Photomasks For Large Exposure Applications Dan Schurz, Warren W. Flack, Makoto Nakamura Ultratech Stepper, Inc. San Jose, CA 95134 Microlithography applications such as advanced packaging,

More information

Resist material for negative tone development process

Resist material for negative tone development process Resist material for negative tone development process FUJIFILM Corporation Electronic Materials Research Laboratories P-1 Outline 1. Advantages of negative tone imaging for DP 2. Process maturity of negative

More information

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by. PROCEEDINGS OF SPIE Alternative Lithographic Technologies VII Douglas J. Resnick Christopher Bencher Editors 23-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by DNS

More information

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node Darren Taylor Photronics, Allen TX 75013 Anthony Vacca, Larry Zurbrick KLA Tencor, 160 Rio Robles, San

More information

Nanoimprint Lithography

Nanoimprint Lithography Nanoimprint Lithography Wei Wu Quantum Science Research Advanced Studies HP Labs, Hewlett-Packard Email: wei.wu@hp.com Outline Background Nanoimprint lithography Thermal based UV-based Applications based

More information

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Uzodinma Okoroanyanwu, 1 Aiqin Jiang, 2 Kornelia Dittmar, 3 Torsten Fahr, 3 Thomas Laursen, 2 Obert Wood, 1 Kevin Cummings, 2 Christian

More information

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press Errata, by Chris Mack, chris@lithoguru.com While teaching out of this book at the University

More information

LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING

LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING European 3D TSV Summit, January 22-23, 2013, Grenoble Dr. Rainer Knippelmeyer, CTO and VP of R&D, GM Product Line Bonder

More information

IEUVI Mask Technical Working Group

IEUVI Mask Technical Working Group IEUVI Mask Technical Working Group Proposed changes P37/P38/P40 SEMI standards Thursday, Feb 28, 2008 / Slide 1 Introduction All of the recommendations are driven by the

More information

EUV Mask Carrier & Load Port Standards Workshop Overview

EUV Mask Carrier & Load Port Standards Workshop Overview EUV Mask Carrier & Load Port Standards Workshop Barcelona Spain Oct. 19 th 2006 EUV Mask Carrier & Load Port Standards Workshop Overview Phil Seidel SEMATECH (Co-Chair) Long He SEMATECH / Intel (Co-Chair)

More information

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography 1 Reference 1. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (2001) 2. - (2004) 3. Semiconductor Physics and Devices-

More information

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems Metrology, 61 Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems Manish Chandhok,, Sang H. Lee, Christof Krautschik, Guojing Zhang, Bryan

More information

Analysis of the Photodegradation at 157 nm of Photolithographic-Grade Teflon AF Pellicles

Analysis of the Photodegradation at 157 nm of Photolithographic-Grade Teflon AF Pellicles Analysis of the Photodegradation at 157 nm of Photolithographic-Grade Teflon A Pellicles Idriss Blakey, Graeme A. George,David J. T. Hill, Heping Liu, iras Rasoul, Llew Rintoul, Andrew K. Whittaker, Paul

More information

SMP625 Product Specifications

SMP625 Product Specifications ADVANCED MATERIALS HANDLING SPECIFICATION SHEET SMP625 Product Specifications SPECIFICATIONS Reticle size 152 mm 152 mm 6.35 mm (6" 6" 0.250") Reticle capacity 1 Mass Approximately 500 g Color Black Materials

More information

T h e Y i e l d M a n a g e m e n t C o m p a n y Electrostatic Compatibility in Photolithography An OEM perspective

T h e Y i e l d M a n a g e m e n t C o m p a n y Electrostatic Compatibility in Photolithography An OEM perspective T h e Y i e l d M a n a g e m e n t C o m p a n y Electrostatic Compatibility in Photolithography An OEM perspective Jeff Bruner Compliance Engineering Project Manager KLA-Tencor RAPID Division Topics

More information

Techniken der Oberflächenphysik (Techniques of Surface Physics)

Techniken der Oberflächenphysik (Techniques of Surface Physics) Techniken der Oberflächenphysik (Techniques of Surface Physics) Prof. Yong Lei & Dr. Yang Xu (& Liying Liang) Fachgebiet 3D-Nanostrukturierung, Institut für Physik Contact: yong.lei@tu-ilmenau.de; yang.xu@tu-ilmenau.de;

More information

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance 1 Seminar Spacer Lithography for Reduced Variability in MOSFET Performance Prof. Tsu-Jae King Liu Electrical Engineering & Computer Sciences Dept. University of California at Berkeley Graduate Student:

More information

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XVI, SPIE Vol. 3678, pp. 1-1011. It

More information

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning SEMI Texas Spring Forum May 21, 2013 Austin, Texas Author / Company / Division / Rev. / Date A smartphone today has

More information

Optimized Stepping for Fan-out Wafer and Panel Packaging P.10

Optimized Stepping for Fan-out Wafer and Panel Packaging P.10 SEMICONDUCTORS PACKAGING MEMS LEDS DISPLAYS JUNE 2018 Material Innovations for Advancements in Fan-out Packaging P. 14 Void Control in Die Attach P. 20 Patterned Wafer Geometry Grouping for Improved Overlay

More information

The study for image placement repeatability of EUV mask on the flat chuck

The study for image placement repeatability of EUV mask on the flat chuck The study for image placement repeatability of EUV mask on the flat chuck Shusuke Yoshitake, Hitoshi Sunaoshi, Shuichi Tamamushi, Soichiro Mitsui 1, Munehiro Ogasawara 1, Takeyuki Yamada 2, Tsutomu Shoki

More information

Analysis of carbon contamination on EUV mask using CSM/ ICS

Analysis of carbon contamination on EUV mask using CSM/ ICS Analysis of carbon contamination on EUV mask using CSM/ ICS Jae Uk Lee 1, Chang Young Jeong 1, Sangsul Lee 1, Jong Gul Doh 1,, Dong Geun Lee 2, Seong-Sue Kim 2, Han-Ku Cho 2, Seung-yu Rah 3 and Jinho Ahn

More information

Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry

Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry 1 Kin Wai Tang, 1 Teng Hwee Ng, 1 Lei Huang, 1 Susan Ng, 1 Thomas Ku, 2 Wee Teck Chia, 2 Lin

More information

2016 Daniel Tyler Elg

2016 Daniel Tyler Elg 2016 Daniel Tyler Elg REMOVAL OF TIN FROM EXTERME ULTRAVIOLET COLLECTOR OPTICS BY AN IN-SITU HYDROGEN PLASMA BY DANIEL TYLER ELG Dissertation Submitted in partial fulfillment of the requirements for the

More information

Litho scenario solutions for FinFET SRAM 22nm node

Litho scenario solutions for FinFET SRAM 22nm node See discussions, stats, and author profiles for this publication at: https://www.researchgate.net/publication/51695 Litho scenario solutions for FinFET SRAM nm node ARTICLE in PROCEEDINGS OF SPIE - THE

More information

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Manish Chandhok, a Heidi Cao, a Wang Yueh, a Eric Gullikson, b Robert Brainard, c Stewart Robertson c a Intel Corporation,

More information

Competitive Semiconductor Manufacturing

Competitive Semiconductor Manufacturing Competitive Semiconductor Manufacturing Prof. Robert C. Leachman Director, Competitive Semiconductor Manufacturing Program University of California at Berkeley Jan 16, 2007 Jan. 16, 2007 RCL - CSM Findings

More information

Three Approaches for Nanopatterning

Three Approaches for Nanopatterning Three Approaches for Nanopatterning Lithography allows the design of arbitrary pattern geometry but maybe high cost and low throughput Self-Assembly offers high throughput and low cost but limited selections

More information

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES Entegris Edit -SEMI Draft Document (10-11-2006 Revision) NEW STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE 6 INCH EUV RETICLES This specification draft

More information

EV Group. Engineered Substrates for future compound semiconductor devices

EV Group. Engineered Substrates for future compound semiconductor devices EV Group Engineered Substrates for future compound semiconductor devices Engineered Substrates HB-LED: Engineered growth substrates GaN / GaP layer transfer Mobility enhancement solutions: III-Vs to silicon

More information

Resist-outgas testing and EUV optics contamination at NIST

Resist-outgas testing and EUV optics contamination at NIST 1 2012 International Workshop on EUVL, Maui, HI Resist-outgas testing and EUV optics contamination at NIST Shannon Hill, Nadir Faradzhev, Charles Tarrio, Steve Grantham, Lee Richter and Tom Lucatorto National

More information

Characterization of Optical Proximity Correction Features

Characterization of Optical Proximity Correction Features Characterization of Optical Proximity Correction Features John Allgair, Michelle Ivy, Kevin Lucas, John Sturtevant Motorola APRDL, Austin, TX 7871 Richard Elliott, Chris A. Mack, Craig MacNaughton, John

More information

193 nm STEP AND SCAN LITHOGRAPHY

193 nm STEP AND SCAN LITHOGRAPHY 193 nm STEP AND SCAN LITHOGRAPHY Guy Davies, Judon Stoeldraijer, Barbra Heskamp, Jan Mulkens, Joost Sytsma, Hans Bakker ASML BV, De Run 111, 553 LA Veldhoven, The Netherlands Holger Glatzel, Christian

More information

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG TEMPORARY BONDING / DEBONDING AS THIN WAFER HANDLING SOLUTION FOR 3DIC & INTERPOSERS Device

More information

Thermal Expansion property and Surface Finish capability of CLEARCERAM -Z series for EUVL Photomask Substrate Application

Thermal Expansion property and Surface Finish capability of CLEARCERAM -Z series for EUVL Photomask Substrate Application Thermal Expansion property and Surface Finish capability of CLEARCERAM -Z series for EUVL Photomask Substrate Application Kousuke Nakajima, Toshihide Nakajima, Yoshiyuki Owari OHARA Incorporated 3 rd International

More information

Contamination Monitoring of Semiconductor Processes by VPD HR-ICPMS

Contamination Monitoring of Semiconductor Processes by VPD HR-ICPMS Contamination Monitoring of Semiconductor Processes by VPD HR-ICPMS Jürgen Lerche, SEMICON 2002 AMD, the AMD Arrow Logo and combinations thereof are trademarks of Advanced Micro Devices, Inc. 5/16/2002

More information

Fast Non-destructive Optical Measurements of Critical Dimension Uniformity and Linearity on AEI and ASI Phase-shift Masks

Fast Non-destructive Optical Measurements of Critical Dimension Uniformity and Linearity on AEI and ASI Phase-shift Masks Fast Non-destructive Optical Measurements of Critical Dimension Uniformity and Linearity on AI and ASI Phase-shift Masks Alexander Gray University of California at Davis, CA John C. Lam n&k Technology,

More information

EUREKA: A new Industry EUV Research Center at LBNL

EUREKA: A new Industry EUV Research Center at LBNL EUREKA: A new Industry EUV Research Center at LBNL Patrick Naulleau Center for X-ray Optics Lawrence Berkeley National Laboratory Berkeley Lab MSD Materials Sciences Division 1 Operating model Core operational

More information

Important challenge for the extension of Spacer DP process

Important challenge for the extension of Spacer DP process Important challenge for the extension of Spacer DP process H. Yaegashi Tokyo Electron Limited Leading-edge Process development center Kobe, JAPAN 21 October 2010 1 Outline Background Lithographic scaling

More information

RS-C Flexible Reticle Stocker

RS-C Flexible Reticle Stocker RS-C Flexible Reticle Stocker CONTAMINATION CONTROL SOLUTIONS Benefits Secure reticle storage Tec-Cell technology Prolongs the reticle lifetime Fast reticle access time Full Flexibility The RS-C is a fully

More information

Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010

Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010 Approved by: Process Engineer / / / / Equipment Engineer 1 SCOPE The purpose of this document is to detail the use of the ASML PAS 5500 Stepper. All users are expected to have read and understood this

More information

EUVL for HVM: Progress Update

EUVL for HVM: Progress Update EUVL for HVM: Progress Update Mark Phillips Intel Corporation EUVL Workshop, Maui, Hawaii, 17 June 2015, Mark Phillips (Intel) Outline EUV source and system performance EUV/193i complementary patterning

More information

Overview European EUVL programme

Overview European EUVL programme Rob Hartman Overview European EUVL programme Rob Hartman 3 rd International EUVL Symposium Miyazaki, 3 November, 2004 Rob Hartman Agenda When EUV? European programme in risk areas Source Tool & Optics

More information

Thin Wafer Handling Challenges and Emerging Solutions

Thin Wafer Handling Challenges and Emerging Solutions 1 Thin Wafer Handling Challenges and Emerging Solutions Dr. Shari Farrens, Mr. Pete Bisson, Mr. Sumant Sood and Mr. James Hermanowski SUSS MicroTec, 228 Suss Drive, Waterbury Center, VT 05655, USA 2 Thin

More information

The use of Modified Polytetrafluoroethylene for 157nm and 193nm Soft Pellicles

The use of Modified Polytetrafluoroethylene for 157nm and 193nm Soft Pellicles The use of Modified Polytetrafluoroethylene for 157nm and 193nm Soft Pellicles Paul A. Zimmerman, Chris van Peski, and Danny Miller International SEMATECH Andrew Proctor Intel Corporation Ryan P. Callahan

More information

ALIGNMENT ACCURACY IN A MA/BA8 GEN3 USING SUBSTRATE CONFORMAL IMPRINT LITHOGRAPHY (SCIL)

ALIGNMENT ACCURACY IN A MA/BA8 GEN3 USING SUBSTRATE CONFORMAL IMPRINT LITHOGRAPHY (SCIL) ALIGNMENT ACCURACY IN A MA/BA8 GEN3 USING SUBSTRATE CONFORMAL IMPRINT LITHOGRAPHY (SCIL) Robert Fader Fraunhofer Institute for Integrated Systems and Device Technology (IISB) Germany Ulrike Schömbs SUSS

More information

VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING

VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING Future ICs will use more 3D device structures such as finfets and gate-all-around (GAA) transistors, and so vacuum deposition processes are needed that

More information

Mask Characterization for Double Patterning Lithography

Mask Characterization for Double Patterning Lithography Mask Characterization for Double Patterning Lithography Karsten Bubke 1, Eric Cotte 1, Jan Hendrik Peters 1, Robert de Kruif, Mircea Dusa 3, Joerg Fochler 4, Brid Connolly 4 1 Advanced Mask Technology

More information

EV Group. Enabling processes for 3D interposer. Dr. Thorsten Matthias EV Group

EV Group. Enabling processes for 3D interposer. Dr. Thorsten Matthias EV Group EV Group Enabling processes for 3D interposer Dr. Thorsten Matthias EV Group EV Group in a Nutshell st Our philosophy Our mission in serving next generation application in semiconductor technology Equipment

More information

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process John C. Lam, Alexander Gray n&k Technology, Inc., Santa Clara, CA ABSTRACT Critical dimension (CD) metrology

More information

Recent progress in nanoparticle photoresist development for EUV lithography

Recent progress in nanoparticle photoresist development for EUV lithography Recent progress in nanoparticle photoresist development for EUV lithography Kazuki Kasahara ab, Vasiliki Kosma b, Jeremy Odent b, Hong Xu b, Mufei Yu b, Emmanuel P. Giannelis b, Christopher K. Ober b a

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations Chapter 2 Process Variability Overview Parameter variability has always been an issue in integrated circuits. However, comparing with the size of devices, it is relatively increasing with technology evolution,

More information

Defectivity and Particle Reduction For Mask Life Extension, and Imprint Mask Replication For High Volume Semiconductor Manufacturing.

Defectivity and Particle Reduction For Mask Life Extension, and Imprint Mask Replication For High Volume Semiconductor Manufacturing. Defectivity and Particle Reduction For Mask Life Extension, and Imprint Mask Replication For High Volume Semiconductor Manufacturing Keiji Emoto 1, Fumio Sakai 1, Chiaki Sato 1, Yukio Takabayashi 1, Hitoshi

More information