Figure 1 below shows the generic process flow of an LELE method of double patterning.

Size: px
Start display at page:

Download "Figure 1 below shows the generic process flow of an LELE method of double patterning."

Transcription

1 Multilayer Double Patterning MCEE 505/605 LM&P Rajiv Sejpal (585) Dept. of Microelectronics Engineering, Rochester Institute of Technology GOAL Due to the delay in next generation lithography (EUV lithography) multilayer patterning is suggested to keep on track with the Moore s law. The goal of this experiment is to perform a simple double patterning process for metal 1 and contact layers to determine the challenges of material stack, minimum resolution, stitching, k1 factor and overlay. INTRODUCTION/THEORY Double Patterning (DP) or Multilayer Patterning (MP) is a process which is used in conjunction with conventional lithographic techniques to increase the feature density. This is achieved by splitting a dense design into two (DP) or more (MP) sparse designs on the mask and then by recombining the desired pattern at wafer using successive lithographic steps [1]. Double patterning can be classified into three basic categories: 1. Litho-Etch-Litho-Etch (LELE) 2. Litho-Freeze-Litho-Etch (LFLE) 3. Self-Aligned Double Patterning (SADP) Figure 1 below shows the generic process flow of an LELE method of double patterning. Figure 1. LELE process flow. The first pattern is exposed on the photoresist using the first lithographic step (step 1). This pattern is then etched on to the Hard mask 1 (step 2). One more layer of photoresist is coated and then exposed with the second pattern. The subsequent pattern is then etched in to Hard mask 2 to obtain the target pattern. This experiment implements a Litho-Etch-Litho (LEL) double patterning process flow as shown below in figure 2 and figure 3. Here the final etch step as indicated in the figure 1 is eliminated for simplicity and time constraints. Figure 2 shows the process for imaging lines which implements a

2 clear field masks and figure 3 shows the process for imaging trenches implementing a dark field masks on the reticle. Figure 2. L-E-L process flow for lines. Figure 3. L-E-L process flow for trenches.

3 Random logic design of metal 1 layers and a contact layer as shown below in figure 4. are used as the target pattern, which is split into two designs of higher pitches (sparse density) at the mask. Pattern 1 Pattern 2 Pattern 3 Figure 4. Mask patterns and split designs under investigation. Contacts. These four patterns are repeated for six different half pitches (hp) of 0.3µm, 0.5 µm, 0.75 µm, 1 µm, 1.5 µm and 2 µm respectively to determine the best resolution. PLANNED PROCEDURE: 1. Perform a prolith simulation for stack reflectivity using the stack used in the lab and calculate the theoretical stack reflectivity using the Fresnel equation and draw comparisons. Explain discrepancies. (Use 1000Å for oxide and 10,000Å for PR thickness). 2. Obtain clean 6-inch oxide coated silicon wafers (Wafers already have alignment marks on them).

4 3. Measure Oxide thickness using the Spectra-Map. (81 points measurement). Note Avg. Thickness and Std. Dev. 4. Coat OiR 620 PR on the wafers using the coat recipe on the SSI track. Measure PR thickness. Enter the Avg. oxide thickness obtained and Refractive index of PR (1.64 for positive photoresist.) 5. Perform an FEM for the dark field mask (Dark 1) using the ASML. Energy Range from 200mJ/cm 2 to 300mJ/cm 2 and focus from -1µm to 1µm. (Refer 4a-e in Running a stepper job under ASML stepper procedure) 6. Find the optimum dose for maximum usable depth of focus. 7. Strip Photoresist using the Gastronics Asher (FFF recipe). 8. Perform another FEM for the clear field mask (CLEAR 1) using the ASML. Energy Range from 200mJ/cm 2 to 300mJ/cm 2 and focus from -1µm to 1µm. (Refer 4a-e in Running a stepper job under ASML stepper procedure) 9. Pattern first layer of Photoresist using COAT recipe and expose it on the ASML by following the ASML stepper procedure. 10. Observe the Pattern using the Microscope and make sure the desired pattern is obtained. 11. Etch the first pattern in the oxide using Drytek Quad. (Use Etch Procedure below to etch oxide). 12. Strip photoresist using the Gastronics Asher (FFF recipe) 13. Pattern second layer of Photoresist using NO DISPENSE recipe and measure PR thickness. Enter the Avg. oxide thickness obtained and Refractive index of PR (1.64 for positive photoresist.) 14. Expose it on the ASML by following the ASML stepper procedure. Use diluted OiR 620 PR for 2 nd layer. 15. Develop the second layer using DEVELOP RECIPE. 16. Observe stitching and patterned resolution for Pattern 1, 2, 3 and contacts. 17. Observe pattern using a microscope and measure CD. 18. Observe Optical Verniers & Resolution lines and Measure Overlay and Resolution. (Note: For Alignment and Oxide Procedure refer APPENDIX) ASML Stepper Procedure: Load Reticle: 1. Make sure that the computer is on the Main Menu, if not select 0 Exit. Do not exit from the Main Menu. 2. To remove the reticle box from the machine, under select Mat Hdl from the top of the screen and then 3 Exchange Reticle Box. Click the Unlock button in the middle of the screen to unlock the reticle box. 3. Remove the box by lifting straight up 2 cm, tilting the front up and moving it away from the stepper at a 45 degree angle. Always support the reticle box on the bottom to prevent reticles from falling out. 4. Carefully open the reticle box. The clear top is released from the base by sliding the 4 clamps underneath the base. Load the reticles chrome side down with the pre-alignment stars facing out. (USE Reticle labelled SMIT). 5. To load the reticle box, lower it straight down without tilting it. The open side of the internal cassette should be towards the stepper.

5 Defining a Batch and Running a Stepper Job: 1. From the Main Menu, select 2- Batch Control and 1 Define Batch. 2. The Job Name is MCEE605-DP 3. Click on the line to the right of Layer ID. Select appropriate Layer ID. (Layer number zero is used for exposing the alignment marks onto the wafer). For 1 st Level use COMB 1 and for the second level use COMB The Batch Size is the number of wafers or cassettes that you want to expose. Enter For Batch type, select P. a. If performing an FEM, select M for Matrix. b. Enter Nominal Energy as 200mJ/cm 2 and step size of 10mJ/cm 2. c. Enter Nominal Focus of -1µm and step size of 0.2sµm. d. Look for the Optical verniers and resolution lines located on top left, top right and bottom right for each die and find optimum exposure and focus for 1/3 duty ratio of 0.5 or 0,75µm feature sizes. e. Find dose for maximum depth of focus. 6. Enter optimum focus and exposure dose from FEM. 7. Under Illumination Mode select conventional. Hit Run to expose. Drytek Quad Etch Procedure 1. In the service chase #2715 behind the DRYTEK QUAD, ensure the N2 manifold (located immediately on your right-hand side as you enter the service chase) labeled "DRYTEK QUAD 482 VENT" is on. The pressure should read at least 15 PSI. 2. In the Service Chase ensure that the POWER on the Drytek Quad Main Power Panel is on. (Look at light for main power) Below the Main Power Panel make sure the ROBOT PUMP is on. To the right make sure CHAMBER 1&2 PUMP PACKAGE, and CHAMBER 3&4 PUMP PACKAGE are on. 3. In service chase make sure both chillers are on and full. 4. Season the Chamber if necessary. 5. To edit a recipe, use the arrow keys to highlight ALTER, then press SELECT. 6. Select FACCCUT recipe. 7. Only edit the Maximum Time and Abort Time to 2 mins. 8. When done altering the recipe, press EXIT to return to the main menu. 9. Open the door to the cassette chamber using the two switches on the control panel above the keyboard. 10. Place the wafers in the BLUE cassettes labeled SPECIAL CASSETTE DRYTEK QUAD ONLY face up, flats up, starting with slot one and close chamber door. 11. From the main menu use the arrow keys highlight RUN and press SELECT. 12. The recipe you altered should be highlighted. Press the SELECT key. 13. When the word "READY" appears in the upper left part of the screen as shown below, press the white CYCLE START key located on the upper left hand side of the keyboard. 14. Press PROC VALUE or the Page Down button to monitor the etch process on the screen to verify the pressure, power, gas, and time is correct. 15. After the recipe is complete, the wafers will be returned to the cassette in the same slots they were removed from 16. Contact TA/Dr. Ewbank for manual load.

6 ANALYSIS: 1. Perform a Prolith simulation for FEM using the lab conditions and draw comparisons on Exposure dose, focus and depth of focus obtained in lab. 2. Calculate k1 factor using Rayleigh s resolution equation for simulated results and obtained results. Explain discrepancies. APPENDIX: Alignment Procedure: 1. Coat OiR 620 photoresist with standard Coat recipe. 2. Expose the coated wafers in the ASML stepper using the MCEE605-DP stepper Job and the ASML Combi Mask. 3. Develop the exposed wafer using standard Develop recipe on the SSI track. 4. Etch into Silicon using the ZEROETCH recipe in the Drytek Quad (Follow Etch procedure to use Drytek) 5. Strip photoresist. Oxide Procedure: 1. Use Tube 4 to grow 1000Å dry oxide. 2. From the GMI Cell Manager on the furnace computer select the tube 4 by clicking on it once. A blue border appears around the selected tube. Double click on it to open the Process Manager for that tube. 3. Warm Up the Tube to 800C. Remove boat before warm-up. a. Select Send and then Recipe from the top left of the page. b. On the Recipe Select screen make sure that the recipe library is Production. c. For Tubes #1-4, select Recipe 888. Warm up recipes should not be started 4. Let the tube to heat up to 800C. Make sure that all of the temperature readings are 800C. 5. Load your wafers into the quartz cassette with the flats up and the fronts facing out of the tube. 6. From the Process Manager page for the tube that you will be using, select and download the recipe 310 Lfull 1,000Å Dry Oxide recipe. Tubes #1-4 will automatically open. 7. When the tube is finished opening, immediately place your wafers on the center line of the cantilever using the fork. Make sure that baffle is to the left of the wafers and touching the cassette. The cassette that is not being used should be placed to the left of the baffle. Never touch Quartzware with your hands.

7 8. The recipe may now be started from the Process Manager page by selecting Start/Abort and then Start Recipe, or by using the F5 key. Select OK to confirm. 9. When the run is complete, the tube will open automatically. After removing the wafers, return the cassette to the cantilever. Select F7 or use the F7 key to acknowledge the recipe completion. 10. From the Process Manager page, for Tubes #1-4 send Recipe 999.

Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010

Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010 Approved by: Process Engineer / / / / Equipment Engineer 1 SCOPE The purpose of this document is to detail the use of the ASML PAS 5500 Stepper. All users are expected to have read and understood this

More information

0. Table of contents. Author: Jaap Snijder

0. Table of contents. Author: Jaap Snijder Document nr. : JaaSni-20101209-01V01 Page nr. : 0 Author: Jaap Snijder 0. Table of contents 0. Table of contents... 0 1. Changes compared to previous versions... 1 2. Safety... 2 2.1 General... 2 2.2 Chemicals...

More information

Title: ASML PAS 5500 Job Creation Semiconductor & Microsystems Fabrication Laboratory Revision: D Rev Date: 09/20/2012

Title: ASML PAS 5500 Job Creation Semiconductor & Microsystems Fabrication Laboratory Revision: D Rev Date: 09/20/2012 Approved by: Process Engineer / / / / Equipment Engineer 1 SCOPE The purpose of this document is to detail the creation of stepper jobs for the ASML PAS 5500. All users are expected to have read and understood

More information

R I T. Title: GCA Stepper Operations. Semiconductor & Microsystems Fabrication Laboratory Revision: F Rev Date: 08/09/ SCOPE

R I T. Title: GCA Stepper Operations. Semiconductor & Microsystems Fabrication Laboratory Revision: F Rev Date: 08/09/ SCOPE Approved by: Process Engineer / / / / Equipment Engineer 1 SCOPE The purpose of this document is to detail the use of the GCA Stepper. All users are expected to have read and understood this document.

More information

Microsystems Technology Laboratories i-stepperthursday, October 27, 2005 / site map / contact

Microsystems Technology Laboratories i-stepperthursday, October 27, 2005 / site map / contact Microsystems Technology Laboratories i-stepperthursday, October 27, 2005 / site map / contact Fabrication BecomING an MTL Fab. User Internal MIT Users External Users Facilities Fab. staff MTL Orientation

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Resist material for negative tone development process

Resist material for negative tone development process Resist material for negative tone development process FUJIFILM Corporation Electronic Materials Research Laboratories P-1 Outline 1. Advantages of negative tone imaging for DP 2. Process maturity of negative

More information

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography 1 Reference 1. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (2001) 2. - (2004) 3. Semiconductor Physics and Devices-

More information

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML Double patterning for 32nm and below: an update Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML Mireille Maenhoudt, Shaunee Cheng, Tom Vandeweyer IMEC / Slide 1

More information

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 ASML NXE Pellicle progress update Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 Contents Slide 2 Introduction: a look back at 2015 NXE Pellicle update Pellicle film development NXE Scanner

More information

GCA AS200 Job Preparation

GCA AS200 Job Preparation GCA AS200 Job Preparation The GCA AutoStep 200 wafer stepper is an easy to use instrument capable of very clean lithography with a typical resolution of 0.75 µm, and even 0.6 µm with some optimization.

More information

High Optical Density Photomasks For Large Exposure Applications

High Optical Density Photomasks For Large Exposure Applications High Optical Density Photomasks For Large Exposure Applications Dan Schurz, Warren W. Flack, Makoto Nakamura Ultratech Stepper, Inc. San Jose, CA 95134 Microlithography applications such as advanced packaging,

More information

Citation Bram Lips, Robert Puers, (2016), Three step deep reactive ion etch for high density trench etching Journal of Physics: Conference Series, 757, 012005. Archived version Author manuscript: the content

More information

MEASUREMENT: PART II

MEASUREMENT: PART II 1 MEASUREMENT: PART II Copyright: Department of Chemistry, University of Idaho, Moscow, ID 83844-2343, 2013. INTRODUCTION Read and/or review Section 1.7 and Figure 7.5 in your textbook. The first part

More information

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES Entegris Edit -SEMI Draft Document (10-11-2006 Revision) NEW STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE 6 INCH EUV RETICLES This specification draft

More information

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC The Impact of EUV on the Semiconductor Supply Chain Scotten W. Jones President IC Knowledge LLC sjones@icknowledge.com Outline Why EUV Who needs EUV EUV adoption roadmaps EUV wafer volume projections Impact

More information

Quick Start Guide. The ieq45 GoTo German Equatorial Mount # 8000C

Quick Start Guide. The ieq45 GoTo German Equatorial Mount # 8000C Quick Start Guide The ieq45 GoTo German Equatorial Mount # 8000C PACKAGE CONTENTS Telescope Mount (with built-in GPS) 3.5 Vixen type dovetail saddle (installed on the mount) 8 Losmandy-D type dovetail

More information

IC Fabrication Technology

IC Fabrication Technology IC Fabrication Technology * History: 1958-59: J. Kilby, Texas Instruments and R. Noyce, Fairchild * Key Idea: batch fabrication of electronic circuits n entire circuit, say 10 7 transistors and 5 levels

More information

EUV Lithography Towards Industrialization

EUV Lithography Towards Industrialization EUV Lithography Towards Industrialization Wim van der Zande, Director of Research, ASML Dublin Meeting November 2014 Slide 2 Agenda EUV benefit and status at customers Towards higher productivity Summary

More information

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y T u t o r (Summer 1994) Swing Curves Chris A. Mack, FINLE Technologies, Austin, Texas In the last edition of this column, we saw that exposing a photoresist involves the propagation

More information

University of Colorado Denver Anschutz Medical Campus Online Chemical Inventory System User s Manual

University of Colorado Denver Anschutz Medical Campus Online Chemical Inventory System User s Manual University of Colorado Denver Anschutz Medical Campus Online Chemical Inventory System User s Manual Hazardous Materials Division 303-724-0345 chemical.inventory@ucdenver.edu May, 2017 Table of Contents

More information

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process John C. Lam, Alexander Gray n&k Technology, Inc., Santa Clara, CA ABSTRACT Critical dimension (CD) metrology

More information

Carrier Transport by Diffusion

Carrier Transport by Diffusion Carrier Transport by Diffusion Holes diffuse ÒdownÓ the concentration gradient and carry a positive charge --> hole diffusion current has the opposite sign to the gradient in hole concentration dp/dx p(x)

More information

RECON. How to Setup the Telescope to Observe. This guide will show you how to setup your telescope for observing. Written By: Brittany McCrigler

RECON. How to Setup the Telescope to Observe. This guide will show you how to setup your telescope for observing. Written By: Brittany McCrigler RECON How to Setup the Telescope to Observe This guide will show you how to setup your telescope for observing. Written By: Brittany McCrigler 2017 recon.dozuki.com Page 1 of 30 INTRODUCTION This guide

More information

Lecture 14 Advanced Photolithography

Lecture 14 Advanced Photolithography Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes

More information

Laboratory instruction SENSOR DEVICES

Laboratory instruction SENSOR DEVICES Laboratory instruction SENSOR DEVICES Examination: It is compulsory to attend the laboratory work. A set of given questions should be answered and should be handed in by each lab group at the end of the

More information

A Guide to Reticle Design on the Autostep 200 Edward Tang 6/4/04

A Guide to Reticle Design on the Autostep 200 Edward Tang 6/4/04 A Guide to Reticle Design on the Autostep 200 Edward Tang 6/4/04 1 Table of Contents Introduction Section 1 Reticle Management System (RMS): Introduction Section 2- Global Alignment System Global Scan

More information

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond Christof Krautschik Technology & Manufacturing Group External Programs Intel, Santa Clara, CA October

More information

Evolution of Optical Lithography towards 22nm and beyond Donis Flagello

Evolution of Optical Lithography towards 22nm and beyond Donis Flagello Evolution of Optical Lithography towards 22nm and beyond Donis Flagello Slide 1 ArF water immersion lithography cannot image 32 nm HP in SE 200 Resolution, Shrink (nm) 100 80 60 40 30 AT:1200 Logic NAND

More information

CLEA/VIREO PHOTOMETRY OF THE PLEIADES

CLEA/VIREO PHOTOMETRY OF THE PLEIADES CLEA/VIREO PHOTOMETRY OF THE PLEIADES Starting up the program The computer program you will use is a realistic simulation of a UBV photometer attached to a small (diameter=0.4 meters) research telescope.

More information

Photosynthesis. LabQuest OBJECTIVES

Photosynthesis. LabQuest OBJECTIVES Photosynthesis LabQuest 4B The process of photosynthesis involves the use of energy to convert carbon dioxide and water into sugar, oxygen, and other organic compounds. This process is often summarized

More information

Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XVI, SPIE Vol. 5040, pp. 151-161. It is made available

More information

Visual Test Light Scattering Reticle. Users Guide

Visual Test Light Scattering Reticle. Users Guide Visual Test Light Scattering Reticle Users Guide Floppy Disk Contents Filename 4INVTW: 5INVTW: 6INVTW: 4", 5", and 6" reticle data for producing a Visual Test Wafer. This wafer contains both horizontal

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

Important challenge for the extension of Spacer DP process

Important challenge for the extension of Spacer DP process Important challenge for the extension of Spacer DP process H. Yaegashi Tokyo Electron Limited Leading-edge Process development center Kobe, JAPAN 21 October 2010 1 Outline Background Lithographic scaling

More information

FOCUS 30/FOCUS 35 Field Calibration with Survey Pro Field Software

FOCUS 30/FOCUS 35 Field Calibration with Survey Pro Field Software GeoInstruments Application Note June 25th, 2015 FOCUS 30/FOCUS 35 Field Calibration with Survey Pro Field Software Summary: This support note outlines the procedure which should be followed to calibrate

More information

Determining the Concentration of a Solution: Beer s Law

Determining the Concentration of a Solution: Beer s Law Determining the Concentration of a Solution: Beer s Law LabQuest 11 The primary objective of this experiment is to determine the concentration of an unknown nickel (II) sulfate solution. You will be using

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Surface Imaging Through Silylation

Surface Imaging Through Silylation 66 Surface Imaging Through Silylation Chelsea R. Plourde Silylation is a surface imaging technique which allows silicon to absorb into photoresist in specified areas. Photoresist containing silicon creates

More information

PREPARATION FOR CHEMISTRY LAB: FLUORIDE IN WATER

PREPARATION FOR CHEMISTRY LAB: FLUORIDE IN WATER 1 Name: Lab Instructor: PREPARATION FOR CHEMISTRY LAB: FLUORIDE IN WATER On these problems, the solvent is water and the solution is sufficiently dilute so that the density of the solution is the same

More information

CHM Salicylic Acid Properties (r16) 1/11

CHM Salicylic Acid Properties (r16) 1/11 CHM 111 - Salicylic Acid Properties (r16) 1/11 Purpose In this lab, you will perform several tests to attempt to confirm the identity and assess the purity of the substance you synthesized in last week's

More information

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure A. Abdo, ab L. Capodieci, a I. Lalovic, a and R. Engelstad b a Advanced

More information

Experiment 1: The Same or Not The Same?

Experiment 1: The Same or Not The Same? Experiment 1: The Same or Not The Same? Learning Goals After you finish this lab, you will be able to: 1. Use Logger Pro to collect data and calculate statistics (mean and standard deviation). 2. Explain

More information

Laboratory instruction SENSOR DEVICES

Laboratory instruction SENSOR DEVICES Laboratory instruction SENSOR DEVICES Examination: It is compulsory to attend the laboratory work. A set of given questions should be answered and should be handed in by each lab group at the end of the

More information

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial Marks for EUV mask blanks Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial marks are laser scribed on 200 mm wafers to enable defect registration on metrology

More information

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XVI, SPIE Vol. 3678, pp. 1-1011. It

More information

USGS Troy WSC Laboratory Inductively Coupled Plasma- NH4Cl Soil Extracts SOP 425 Jordan Road Rev. No. 2.0 Troy, NY Date: 03/16/2012 Page 1 of 7

USGS Troy WSC Laboratory Inductively Coupled Plasma- NH4Cl Soil Extracts SOP 425 Jordan Road Rev. No. 2.0 Troy, NY Date: 03/16/2012 Page 1 of 7 Troy, NY 12180 Date: 03/16/2012 Page 1 of 7 USGS District Laboratory, Troy, NY Inductively Coupled Plasma Optical Emission Spectrometry Standard Operating Procedure 1. Scope and Application 1.1 Analytes

More information

NSR-2205i14E (6" Reticle Type)

NSR-2205i14E (6 Reticle Type) Step-and-Repeat System NSR-2205i14E (6" Reticle Type) ACCEPTANCE TEST Nikon Precision Inc. January 9, 1998 JCW 1/98 22i14EAT01 1 NSR-2205i14E ACCEPTANCE TEST ITEMS (6" Reticle Type) No. Item Specification

More information

THE CONSERVATION OF ENERGY - PENDULUM -

THE CONSERVATION OF ENERGY - PENDULUM - THE CONSERVATION OF ENERGY - PENDULUM - Introduction The purpose of this experiment is to measure the potential energy and the kinetic energy of a mechanical system and to quantitatively compare the two

More information

ICP-OES DETERMINATION OF IRON. Introduction:

ICP-OES DETERMINATION OF IRON. Introduction: ICP-OES DETERMINATION OF IRON. Introduction: Silver impregnated cloth has bene used in bandages and dressings to help kill bacteria associated with a wound. Cloth samples are to anaysed for silver and

More information

Introduction. Concepts Kinetics Order of reaction Reaction rate Colorimetry. Background

Introduction. Concepts Kinetics Order of reaction Reaction rate Colorimetry. Background Introduction Phenolphthalein is a dye that is used as an acid-base indicator. It is colorless in acidic or neutral solutions and turns bright red-violet (fuschia) as the solution becomes basic. In strongly

More information

Actinic review of EUV masks: First results from the AIMS EUV system integration

Actinic review of EUV masks: First results from the AIMS EUV system integration Invited Paper Actinic review of EUV masks: First results from the AIMS EUV system integration Markus R. Weiss* a, Dirk Hellweg a, Jan Hendrik Peters b, Sascha Perlitz b, Anthony Garetto b, Michael Goldstein

More information

10 - Celestron Telescope II: Operation

10 - Celestron Telescope II: Operation 10 - Celestron Telescope II: Operation Purpose: Gain more experience setting up a 6 Celestron telescope, familiarize yourself with the software interface, and acquire an image with the CCD camera. Due:

More information

Characterization of Optical Proximity Correction Features

Characterization of Optical Proximity Correction Features Characterization of Optical Proximity Correction Features John Allgair, Michelle Ivy, Kevin Lucas, John Sturtevant Motorola APRDL, Austin, TX 7871 Richard Elliott, Chris A. Mack, Craig MacNaughton, John

More information

EE-612: Lecture 22: CMOS Process Steps

EE-612: Lecture 22: CMOS Process Steps EE-612: Lecture 22: CMOS Process Steps Mark Lundstrom Electrical and Computer Engineering Purdue University West Lafayette, IN USA Fall 2006 NCN www.nanohub.org Lundstrom EE-612 F06 1 outline 1) Unit Process

More information

Photosynthesis and Respiration. Evaluation copy

Photosynthesis and Respiration. Evaluation copy Photosynthesis and Respiration Computer 12C Plants make sugar, storing the energy of the sun into chemical energy, by the process of photosynthesis. When they require energy, they can tap the stored energy

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction q Integrated circuits: many transistors on one chip q Very Large Scale Integration (VLSI): bucketloads! q Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Experiment #5: Cauchy s Formula

Experiment #5: Cauchy s Formula Experiment #5: Cauchy s Formula Carl Adams October 14, 2011 1 Purpose This experiment is a continuation of Experiment #4. It is assumed you have an aligned spectrometer. 2 Safety/Protocol 1. The gas discharge

More information

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013 The Stanford Nanofabrication Facility Etch Area Overview May 21, 2013 High Density Plasma Systems Etcher Materials Etched Gases available Wafer Size Applied Materials P5000 MRIE ChA Applied Materials P5000

More information

Chromeless Phase Lithography (CPL)

Chromeless Phase Lithography (CPL) Chromeless Phase Lithography (CPL) Chromeless Phase Lithography or CPL is a recent development in the area of phase shifting technology that is extending the perceived k 1 limits and has the potential

More information

Physics 476LW Advanced Physics Laboratory Michelson Interferometer

Physics 476LW Advanced Physics Laboratory Michelson Interferometer Physics 476LW Advanced Physics Laboratory Michelson Interferometer Introduction An optical interferometer is an instrument which splits a beam of light into two beams, each beam follows a different path

More information

1. Electrostatic Lab [1]

1. Electrostatic Lab [1] 1. Electrostatic Lab [1] Purpose: To determine the charge and charge distribution on insulators charged by the triboelectric effects and conductors charged by an Electrostatic Voltage Source. Equipment:

More information

Optical Proximity Correction

Optical Proximity Correction Optical Proximity Correction Mask Wafer *Auxiliary features added on mask 1 Overlay Errors + + alignment mask wafer + + photomask plate Alignment marks from previous masking level 2 (1) Thermal run-in/run-out

More information

Computational Chemistry Lab Module: Conformational Analysis of Alkanes

Computational Chemistry Lab Module: Conformational Analysis of Alkanes Introduction Computational Chemistry Lab Module: Conformational Analysis of Alkanes In this experiment, we will use CAChe software package to model the conformations of butane, 2-methylbutane, and substituted

More information

Photosynthesis and Respiration

Photosynthesis and Respiration Computer 31C Plants make sugar, storing the energy of the sun into chemical energy, by the process of photosynthesis. When they require energy, they can tap the stored energy in sugar by a process called

More information

Lab 1 Uniform Motion - Graphing and Analyzing Motion

Lab 1 Uniform Motion - Graphing and Analyzing Motion Lab 1 Uniform Motion - Graphing and Analyzing Motion Objectives: < To observe the distance-time relation for motion at constant velocity. < To make a straight line fit to the distance-time data. < To interpret

More information

Determining the Concentration of a Solution: Beer s Law

Determining the Concentration of a Solution: Beer s Law Determining the Concentration of a Solution: Beer s Law Vernier Spectrometer 1 The primary objective of this experiment is to determine the concentration of an unknown copper (II) sulfate solution. You

More information

THE CONSERVATION OF LINEAR MOMENTUM

THE CONSERVATION OF LINEAR MOMENTUM THE CONSERVATION OF LINEAR MOMENTUM Introduction In this experiment you will test the validity of the Law of Conservation of Linear Momentum in one dimension utilizing elastic and inelastic collisions

More information

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process MEEN 489-500 Nanoscale Issues in Manufacturing Lithography Lecture 1: The Lithographic Process 1 Discuss Reading Assignment 1 1 Introducing Nano 2 2 Size Matters 3 3 Interlude One-The Fundamental Science

More information

Cost of Ownership Considerations for Maskless Lithography

Cost of Ownership Considerations for Maskless Lithography Accelerating the next technology revolution Cost of Ownership Considerations for Maskless Lithography Lloyd C. Litt, SEMATECH Andrea F. Wüest, SEMATECH Copyright 2008 SEMATECH, Inc. SEMATECH, and the SEMATECH

More information

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Uzodinma Okoroanyanwu, 1 Aiqin Jiang, 2 Kornelia Dittmar, 3 Torsten Fahr, 3 Thomas Laursen, 2 Obert Wood, 1 Kevin Cummings, 2 Christian

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE143 Professor Ali Javey Fall 2009 Exam 1 Name: SID: Closed book. One sheet of notes is allowed.

More information

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 Bob O'Handley Martin Schmidt Quiz Nov. 17, 2004 Ion implantation, diffusion [15] 1. a) Two identical p-type Si wafers (N a = 10 17 cm

More information

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Photolithography Overview  9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview http://www.intel.com/research/silicon/mooreslaw.htm 1 Moore s law only holds due to photolithography advancements in reducing linewidths 2 All processing to create electric components and circuits rely

More information

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT Photolithography Evolution 1 : Evolution 2 Photomasks Substrates: Type : thermal expansion Chrome Pellicles Mask: OPC and PSM Fabrication: E-Beam or Laser 3 Photomask Information Websites: http://www.photronics.com/internet/corpcomm/publications/basics101/basics.

More information

A Parameter Extraction Framework for DUV Lithography Simulation

A Parameter Extraction Framework for DUV Lithography Simulation A Parameter Extraction Framework for DUV Lithography Simulation Nickhil Jakatdar 1, Junwei Bao, Costas J. Spanos Dept. of Electrical Engineering and Computer Sciences, University of California at Berkeley,

More information

The Phase Change Lab: Freezing and Melting of Water

The Phase Change Lab: Freezing and Melting of Water The Phase Change Lab: Freezing and Melting of Water Experiment 3 Freezing temperature is the temperature at which a substance turns from a liquid to a solid. Melting temperature is the temperature at which

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Today: 1. Introduction E. Bernhard Kley Institute of Applied Physics Friedrich-Schiller

More information

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH High NA the Extension Path of EUV Lithography Dr. Tilmann Heil, Carl Zeiss SMT GmbH Introduction This talk is about resolution. Resolution λ = k 1 NA High-NA NA 0.33 0.4 0.5 0.6 Resolution @ k1=0.3 single

More information

Motion on a linear air track

Motion on a linear air track Motion on a linear air track Introduction During the early part of the 17 th century, Galileo experimentally examined the concept of acceleration. One of his goals was to learn more about freely falling

More information

EUVL Readiness for High Volume Manufacturing

EUVL Readiness for High Volume Manufacturing EUVL Readiness for High Volume Manufacturing Britt Turkot Intel Corporation Outline Exposure Tool Progress Power Availability Intel demo results Reticle Defectivity Pellicle Materials Conclusion 2 Source

More information

ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM

ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM Jan van Schoot, Bert Koek, Chris de Mol, Peter van Oorschot. ASML Veldhoven, The Netherlands This paper was first presented at the Semicon/

More information

Bossung Curves; an old technique with a new twist for sub-90 nm nodes Terrence E. Zavecz TEA Systems

Bossung Curves; an old technique with a new twist for sub-90 nm nodes Terrence E. Zavecz TEA Systems Phone: (+01) 610 682 4146 Email: Info@TEAsystems.com http://www.teasystems.com TEA Systems Corporation 65 Schlossburg St. Alburtis, PA 18011 USA Bossung Curves; an old technique with a new twist for sub-90

More information

Speed of Light in Air

Speed of Light in Air Speed of Light in Air Electromagnetic waves represent energy in the form of oscillating electric and magnetic fields which propagate through vacuum with a speed c = 2.9979246x10 8 m/s. Electromagnetic

More information

Lithography. The Crystal Growth and Reticle Degradation Exposé. Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation

Lithography. The Crystal Growth and Reticle Degradation Exposé. Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation Lithography R E T I C L E The Crystal Growth and Reticle Degradation Exposé Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation Brian J. Grenon, Grenon Consulting, Incorporated

More information

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures Polarized white light from hybrid organic/iii-nitrides grating structures M. Athanasiou, R. M. Smith, S. Ghataora and T. Wang* Department of Electronic and Electrical Engineering, University of Sheffield,

More information

Predictor Assay Setup Guide on the BMG LABTECH CLARIOstar Microplate Readers

Predictor Assay Setup Guide on the BMG LABTECH CLARIOstar Microplate Readers Page 1 of 18 Predictor Assay Setup Guide on the BMG LABTECH CLARIOstar Microplate Readers The BMG LABTECH CLARIOstar Microplate Readers were tested for compatibility with Life Technologies' Predictor herg

More information

IEUVI Mask Technical Working Group

IEUVI Mask Technical Working Group IEUVI Mask Technical Working Group Proposed changes P37/P38/P40 SEMI standards Thursday, Feb 28, 2008 / Slide 1 Introduction All of the recommendations are driven by the

More information

Chemical Equilibrium: Finding a Constant, Kc

Chemical Equilibrium: Finding a Constant, Kc Chemical Equilibrium: Finding a Constant, Kc Experiment 20 The purpose of this lab is to experimentally determine the equilibrium constant, K c, for the following chemical reaction: Fe 3+ (aq) + SCN -

More information

Cost Implications of EUV Lithography Technology Decisions

Cost Implications of EUV Lithography Technology Decisions Accelerating the next technology revolution Cost Implications of EUV Lithography Technology Decisions Andrea F. Wüest, SEMATECH Andrew J. Hazelton, Nikon Corporation Greg Hughes, SEMATECH Lloyd C. Litt,

More information

Experiment 13. Dilutions and Data Handling in a Spreadsheet rev 1/2013

Experiment 13. Dilutions and Data Handling in a Spreadsheet rev 1/2013 Absorbance Experiment 13 Dilutions and Data Handling in a Spreadsheet rev 1/2013 GOAL: This lab experiment will provide practice in making dilutions using pipets and introduce basic spreadsheet skills

More information

EE 434 Lecture 7. Process Technology

EE 434 Lecture 7. Process Technology EE 434 Lecture 7 Process Technology Quiz 4 How many wafers can be obtained from a 2m pull? Neglect the material wasted in the kerf used to separate the wafers. 2m And the number is. 1 8 3 5 6 4 9 7 2 1

More information

Litho scenario solutions for FinFET SRAM 22nm node

Litho scenario solutions for FinFET SRAM 22nm node See discussions, stats, and author profiles for this publication at: https://www.researchgate.net/publication/51695 Litho scenario solutions for FinFET SRAM nm node ARTICLE in PROCEEDINGS OF SPIE - THE

More information

Atomic Spectra HISTORY AND THEORY

Atomic Spectra HISTORY AND THEORY Atomic Spectra HISTORY AND THEORY When atoms of a gas are excited (by high voltage, for instance) they will give off light. Each element (in fact, each isotope) gives off a characteristic atomic spectrum,

More information

Columbia University Astronomy Big Dome Telescope Start up/shut Down

Columbia University Astronomy Big Dome Telescope Start up/shut Down Columbia University Astronomy Big Dome Telescope Start up/shut Down Start Up 1. Get the Eyepieces: Go into the transit room and get any eyepieces you will need. The telescope in the big dome takes both

More information

Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X

Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X Scattering Ronald L. Jones, Wen-li Wu, Eric K. Lin NIST Polymers Division, Gaithersburg,

More information

Determination of the Equivalent Weight and the K a or K b for a Weak Acid or Base

Determination of the Equivalent Weight and the K a or K b for a Weak Acid or Base INTRODUCTION Determination of the Equivalent Weight and the K a or K b for a Weak Acid or Base Chemists frequently make use of the equivalent weight (eq. wt.) as the basis for volumetric calculations.

More information

Appendix 2: Disassembling and Assembling the Telescopes and the Celestron Equatorial Mounts

Appendix 2: Disassembling and Assembling the Telescopes and the Celestron Equatorial Mounts Appendix 2: Disassembling and Assembling the Telescopes and the Celestron Equatorial Mounts Disassembling (classroom) 1. The mount must be locked with the telescope situated horizontally. Note the telescope

More information

ASML Approach to Euv Reticle Handling

ASML Approach to Euv Reticle Handling ASML Approach to Euv Reticle Handling Mask Workshop Antwerp Henk Meijer 3-October-2003 / Slide 1 Presentation Agenda Unique features of EUV reticles Contamination

More information

Technical Procedure for Glass Refractive Index Measurement System 3 (GRIM 3)

Technical Procedure for Glass Refractive Index Measurement System 3 (GRIM 3) Technical Procedure for Glass Refractive Index Measurement System 3 (GRIM 3) 1.0 Purpose - This technical procedure shall be followed for the operation of the GRIM 3. 2.0 Scope - This procedure applies

More information