Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center

Size: px
Start display at page:

Download "Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center"

Transcription

1 Functional Materials for Advanced Patterning Robert D. Allen Business Unit or Product Name IBM Almaden Research Center 2003 IBM Corporation

2 Resists/Materials for Advanced Patterning Trends in Lithography and Materials Implications Chemical Amplification----unlocking the potential of lithography through materials and chemistry 193nm Lithography---materials challenges, amazing etendibility Immersion Etending Immersion (time permitting) High Inde Double Patterning Post-immersion lithography candidates EUV EB Nanoimprint The Potential of Lithography-directed Self Assembly Bob Allen - DISKCN

3 CA Resist Basics History of Chemically Amplified (CA) Resists Ito, Willson and Frechet (IBM San Jose) invented CA resists in the early 1980s. Initial focus was on very high speed resists for DUV (254nm lamp-based scanners). TBC resist was generation 1 (ca. 1984) First manufacturing in IBM for 4 Mb DRAM in mid 1980s IBM developed 2 nd generation positive resists (APEX, APEX-E). Still in use today! (World-wide adoption for 0.25 micron lithography) Several Generations of DUV Lithography followed (thanks to IBM s ESCAP) (1990 s) led to acceleration of Moore s law) 193nm resists followed (IBM/Fujitsu) (late 90 s) Etension of 193nm via Immersion lithography (now!) What is net? Bob Allen - DISKCN

4 CA Resist Basics Chemistry of Chemically Amplified Resists revolutionary change! + S X - hν H + X - epose post-epose bake H + ( CH -CH ) 2 heat + + deprotection + C 2 + H + products nonpolar ( CH -CH ) 2 H polar develop Bob Allen - DISKCN

5 Functional Polymers for Patterning ESCAP the prototype in functional materials design y y z Hiroshi Ito IBM Fellow H Dissolution control Adhesion etch resistance high Tg H Property control knob CA switching group Acrylic Ester/Phenolic Resist: A breakthrough in resist design Similar design concepts practiced in 193nm litho, EUV, EB Bob Allen - DISKCN

6 193nm Lithography Materials Alicyclic Acrylic Polymers (nonphenolic) Etch resistance and development properties were difficult to achieve Eplosion in High Performance Materials and processes helped to etend 193nm lithography to sub-40nm HP resolution. Materials hybridized from 157nm and DUV lithography helped enable immersion lithography R n F 3 C CF 3 H Bob Allen - DISKCN

7 Immersion Materials Resist/topcoat/fluid interfaces in I-lithography bottom element water Evaporation temp, precip air permeation particles bubbles surface energy amine contamination resist component etraction topcoat resist intermiing BARC substrate Many interfaces, all important, some more than others! Bob Allen - DISKCN

8 Base-soluble Topcoat Solutions beyond conventional topcoats Graded Topcoat* surface-active additive in topcoat Topcoat-free Resist surface-active additive in resist Topcoat-free Resist modified resist + low-leaching PAG Benefits Performance Resist Wafer Low etraction Moderate RCA Good performance Well established Low etraction Moderate-High RCA Higher CAs possible Lower fluorine content (less epensive) Low etraction Very high RCA Fewer process steps Very high RCAs No need to modify resist Etraction dependent upon PAG design Moderate RCA Fewer process steps nly one material Limitations Receding CA limited by acidic groups Etra process steps Receding CA limited by acidic groups Etra process steps Additive design crucial for low defectivity Uses specialized PAGs Modify resist to increase RCA Bob Allen - DISKCN * Same Concept as IBM s Graded BARC

9 Additive approach is more effective in topcoat-free resists Graded topcoat Topcoat-free resist Topcoat Resist Wafer Must dissolve 100+ nm Need many acidic groups for dissolution Increase hysteresis Lower receding contact angle Must dissolve ~2 nm of material Can rely on underlying photoacid to Generate acidic groups where needed After PEB! hν R f y R f y H + R f H y F 3 C CF 3 H Sanders et al. Proc. SPIE, Bob Allen - DISKCN Hydrophobic Acidic group for dissolution (eposed regions)

10 HFA groups can increase developer wetting y y R R acid-labile F 3 C CF 3 R acid-labile F 3 C CF 3 H Tilting drop contact angles With fluoroalcohol groups No fluoroalcohol groups water θ rec θ adv 0.26 N TMAH Sanders, Microlithography World, Fluoroalcohol groups increase hysteresis the least amongst acidic groups Bob Allen - DISKCN

11 Potential Successors to 193nm lithography EUV Tooling challenges, high speed resists required Sensitivity, Resolution and LER need to be achieved simultaneously EB Tooling challenges, high speed resists required Sensitivity, Resolution and LER need to be achieved simultaneously Imprint Tooling challenges, template challenges Throughput, defectivity, learning required Bob Allen - DISKCN

12 Directed Polymer Self-assembly (DSA) Definition: Use lithographically defined prepatterns to directed polymer self-assembly Lithographically defined prepatterns Polymer self-assembly Chemical patterns + Topographical patterns Well-defined dimension. Self-healing. Compatible with current litho tooling Challenges: Develop materials and process for litho-friendly directed self-assembly Bob Allen - DISKCN

13 Integration Materials an eample of litho-friendly integration materials DSA Methods Tripling Quadrupling P resist = 85 nm P resist = 115 nm y crosslinkable group Provide interface between SA and litho materials Compatible with standard litho process and materials J. Y. Cheng, D. P. Sanders, H. -C. Kim, L. K. Sundberg, SPIE Proceeding, 6921, (2008) P SA = 28.3 nm P SA = 28.8 nm Self-assembly Materials Materials for scaling Materials for clean up (self-healing) Bob Allen - DISKCN

14 IBM Research Poorly-defined Resist self assembly and self healing Well-defined Frequency doubled Self-assembled patterns 200 nm Defect-free directed self-assembly (hp=14.4nm) on ill-defined resist patterns (hp=28.8nm) J. Y. Cheng, C. T. Rettner, D. P. Sanders, H. -C. Kim, W. D. Hinsberg, Adv. Mater. 2008, 20, Bob Allen - DISKCN

Introduction to / Status of Directed Self- Assembly

Introduction to / Status of Directed Self- Assembly Introduction to / Status of Directed Self- Assembly DSA Workshop, Kobe Japan, October 2010 Bill Hinsberg IBM Almaden Research Center San Jose CA 95120 hnsbrg@almaden.ibm.com 2010 IBM Corporation from Bringing

More information

SEMATECH Knowledge Series 2010

SEMATECH Knowledge Series 2010 SEMATECH Knowledge Series 2010 Summary of the SEMATECH Workshop on Directed Self Assembly Lloyd Litt SEMATECH/GF Bill Hinsberg - IBM 20 October 2010 Kobe, Japan Copyright 2010 Advanced Materials Research

More information

Next: 193nm Lithography

Next: 193nm Lithography Lecture 16 Chemical Engineering for Micro/Nano Fabrication Next: 193nm Lithography Absorption coefficient [1/µm] Absorption of Photoresist Polymers 2.8 Poly-(4-hydroxystyrene) 2.4 Meta-cresol novolak 2.0

More information

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists INTERFACE '95 This paper was published in the proceedings of the OCG Microlithography Seminar, Interface '95, pp. 217-228. It is made available as an electronic reprint with permission of OCG Microelectronic

More information

NanoImprint Materials. March, IBM Almaden Research Center

NanoImprint Materials. March, IBM Almaden Research Center NanoImprint Materials Ratnam Sooriyakumaran Hiroshi Ito Mark Hart Frances Houle Geraud Dubois Robert Miller Robert Allen March, 2006 05/24/2006 Imprint in IBM IBM Research Division is conducting an exploratory

More information

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Photolithography Overview  9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview http://www.intel.com/research/silicon/mooreslaw.htm 1 Moore s law only holds due to photolithography advancements in reducing linewidths 2 All processing to create electric components and circuits rely

More information

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning SEMI Texas Spring Forum May 21, 2013 Austin, Texas Author / Company / Division / Rev. / Date A smartphone today has

More information

Effect of PAG Location on Resists for Next Generation Lithographies

Effect of PAG Location on Resists for Next Generation Lithographies Effect of PAG Location on Resists for Next Generation Lithographies ber Research Group Materials Science & Engineering Ithaca, NY 14853 Development Trends in Microlithography 10 Contact Printer Architectures

More information

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by. PROCEEDINGS OF SPIE Alternative Lithographic Technologies VII Douglas J. Resnick Christopher Bencher Editors 23-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by DNS

More information

World-wide Standardization Effort on Leaching Measurement Methodology

World-wide Standardization Effort on Leaching Measurement Methodology World-wide Standardization Effort on Leaching Measurement Methodology Roel Gronheid 1, Christina Baerts 1, Stefan Caporale 2, Jim Alexander 2, Ben Rathsack 3, Steven Scheer 3, Katsumi Ohmori 4, Bryan Rice

More information

Directed Self-assembly of Topcoat-free Polycarbonate-containing High-χ Block Copolymers

Directed Self-assembly of Topcoat-free Polycarbonate-containing High-χ Block Copolymers Directed Self-assembly of Topcoat-free Polycarbonate-containing High-χ Block Copolymers, Kristin Schmidt, Gabriela Alva, Noel Arellano, Teddie Magbitang, Anindarupa Chunder, Melia Tjio, Elizabeth Lofano,

More information

Particle Generation during Photoresist Dissolution

Particle Generation during Photoresist Dissolution Particle Generation during Photoresist Dissolution Siddharth Chauhan a, Mark Somervell b, Michael Carcasi b, Steven Scheer b, Roger T. Bonnecaze a, Chris Mack c and C. Grant Willson a a Department of Chemical

More information

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

Methodology of modeling and simulating line-end shortening effects in deep-uv resist Methodology of modeling and simulating line-end shortening effects in deep-uv resist Mosong Cheng*, Ebo Croffie, Andrew Neureuther Electronics Research Laboratory Department of Electrical Engineering and

More information

DUV Positive Photoresists

DUV Positive Photoresists Journal of Photopolymer Science and Technology Volume 5, Number 1(1992) 207-216 Factors Affecting the Performance of Chemically DUV Positive Photoresists Amplified James W. Thackeray, Theodore H. Fedynyshyn,

More information

Cost Implications of EUV Lithography Technology Decisions

Cost Implications of EUV Lithography Technology Decisions Accelerating the next technology revolution Cost Implications of EUV Lithography Technology Decisions Andrea F. Wüest, SEMATECH Andrew J. Hazelton, Nikon Corporation Greg Hughes, SEMATECH Lloyd C. Litt,

More information

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media.

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media. originates from the limited number of options regarding orthogonal solvents, i.e. solvents that do not dissolve or adversely damage a pre-deposited organic materials layer. The simplest strategy to achieve

More information

Techniken der Oberflächenphysik (Techniques of Surface Physics)

Techniken der Oberflächenphysik (Techniques of Surface Physics) Techniken der Oberflächenphysik (Techniques of Surface Physics) Prof. Yong Lei & Dr. Yang Xu (& Liying Liang) Fachgebiet 3D-Nanostrukturierung, Institut für Physik Contact: yong.lei@tu-ilmenau.de; yang.xu@tu-ilmenau.de;

More information

Lithography for Silicon-based and Flexible Electronics. Christopher K. Ober Materials Science & Engineering Cornell University

Lithography for Silicon-based and Flexible Electronics. Christopher K. Ober Materials Science & Engineering Cornell University Lithography for Silicon-based and Flexible Electronics Christopher K. Ober Materials Science & Engineering Cornell University cober@ccmr.cornell.edu Smaller is Better Moore s Law after 40 Years Now few

More information

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Senichi Nishibe a, Thaddeus Dziura a, Venkat Nagaswami a, Roel Gronheid b a KLA-Tencor Corporation, 1 Technology Drive, Milpitas

More information

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists Nickhil Jakatdar 1, Junwei Bao, Costas J. Spanos Dept. of Electrical Engineering and Computer Sciences, University

More information

Tilted ion implantation as a cost-efficient sublithographic

Tilted ion implantation as a cost-efficient sublithographic Tilted ion implantation as a cost-efficient sublithographic patterning technique Sang Wan Kim 1,a), Peng Zheng 1, Kimihiko Kato 1, Leonard Rubin 2, Tsu-Jae King Liu 1 1 Department of Electrical Engineering

More information

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography 1 Reference 1. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (2001) 2. - (2004) 3. Semiconductor Physics and Devices-

More information

Pattern Transfer- photolithography

Pattern Transfer- photolithography Pattern Transfer- photolithography DUV : EUV : 13 nm 248 (KrF), 193 (ArF), 157 (F 2 )nm H line: 400 nm I line: 365 nm G line: 436 nm Wavelength (nm) High pressure Hg arc lamp emission Ref: Campbell: 7

More information

Development of Lift-off Photoresists with Unique Bottom Profile

Development of Lift-off Photoresists with Unique Bottom Profile Transactions of The Japan Institute of Electronics Packaging Vol. 8, No. 1, 2015 [Technical Paper] Development of Lift-off Photoresists with Unique Bottom Profile Hirokazu Ito, Kouichi Hasegawa, Tomohiro

More information

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Lithography for Semiconductor Manufacturing SPIE Vol. 3741, pp. 148-160. It is made

More information

Screening of basic resist materials and PAGs for EUV-Lithography

Screening of basic resist materials and PAGs for EUV-Lithography Screening of basic resist materials and PAGs for EUV-Lithography 1. Int. EUVL-Symposium, Dallas, TX Klaus Lowack, Wolf-Dieter Domke, liver Kirch, Karl Kragler, Heinz-Ulrich Scheunemann* Infineon Technologies

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XIV, SPIE Vol. 3049, pp. 706-711. It

More information

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking Improving resist resolution and sensitivity via electric-field enhanced postexposure baking Mosong Cheng, a) Lei Yuan, Ebo Croffie, and Andrew Neureuther Electronics Research Laboratory, University of

More information

Cost of Ownership Considerations for Maskless Lithography

Cost of Ownership Considerations for Maskless Lithography Accelerating the next technology revolution Cost of Ownership Considerations for Maskless Lithography Lloyd C. Litt, SEMATECH Andrea F. Wüest, SEMATECH Copyright 2008 SEMATECH, Inc. SEMATECH, and the SEMATECH

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Three Approaches for Nanopatterning

Three Approaches for Nanopatterning Three Approaches for Nanopatterning Lithography allows the design of arbitrary pattern geometry but maybe high cost and low throughput Self-Assembly offers high throughput and low cost but limited selections

More information

Current Status of Inorganic Nanoparticle Photoresists

Current Status of Inorganic Nanoparticle Photoresists Prof. Christopher K. ber Department of Materials Science and Engineering, Cornell University, Ithaca NY Current Status of Inorganic Nanoparticle Photoresists Markos Trikeriotis, Marie Krysak, Yeon Sook

More information

Optical Proximity Correction

Optical Proximity Correction Optical Proximity Correction Mask Wafer *Auxiliary features added on mask 1 Overlay Errors + + alignment mask wafer + + photomask plate Alignment marks from previous masking level 2 (1) Thermal run-in/run-out

More information

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 ASML NXE Pellicle progress update Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 Contents Slide 2 Introduction: a look back at 2015 NXE Pellicle update Pellicle film development NXE Scanner

More information

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography February 26, 2009 Shinji Tarutani FUJIFILM Corporation Research & Development Management Headquarters Electronic Materials Research

More information

Advances in Step and Flash Imprint Lithography

Advances in Step and Flash Imprint Lithography Advances in Step and Flash Imprint Lithography S.C. Johnson, T.C. Bailey, M.D. Dickey, B.J. Smith, E.K. Kim, A.T. Jamieson, N.A. Stacey, J.G. Ekerdt, C.G. Willson Texas Materials Institute University of

More information

EFFECT OF NANOSCALE CONFINEMENT ON THE PHYSICAL PROPERTIES OF POLYMER THIN FILMS

EFFECT OF NANOSCALE CONFINEMENT ON THE PHYSICAL PROPERTIES OF POLYMER THIN FILMS EFFECT OF NANOSCALE CONFINEMENT ON THE PHYSICAL PROPERTIES OF POLYMER THIN FILMS A Thesis Presented to The Academic Faculty by Lovejeet Singh In Partial Fulfillment of the Requirements for the Degree Doctor

More information

Application of polymers to photoresist materials

Application of polymers to photoresist materials Nippon Gomu Kyokaishi, No. 2, 2012, pp. 33 39 Application of polymers to photoresist materials A. Soyano Semiconductor Materials Laboratory, Fine Electronics Materials Research Laboratories, JSR Corporation,

More information

Silicone brushes: Omniphobic Surfaces with Low Sliding Angle

Silicone brushes: Omniphobic Surfaces with Low Sliding Angle Sanghyuk Wooh and Doris Vollmer Angew. Chem. Int. Ed. 2016, Vol. 55, 6822 (engl.) Angew. Chem. 2016, Vol. 128, 6934 (german) Silicone brushes: Omniphobic Surfaces with Low Sliding Angle Sanghyuk Wooh and

More information

Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process

Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process Mandar Bhave, Kevin Edwards, Carlton Washburn Brewer Science, Inc., 2401 Brewer Dr., Rolla, MO 65401,

More information

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press Errata, by Chris Mack, chris@lithoguru.com While teaching out of this book at the University

More information

Polymer Matrix Effects on EUV Acid Generation

Polymer Matrix Effects on EUV Acid Generation Polymer Matrix Effects on EUV Acid Generation Theodore H. Fedynyshyn, Russell B. Goodman, and Jeanette Roberts # Lincoln Laboratory Massachusetts Institute of Technology # Intel Corporation The Lincoln

More information

A Parameter Extraction Framework for DUV Lithography Simulation

A Parameter Extraction Framework for DUV Lithography Simulation A Parameter Extraction Framework for DUV Lithography Simulation Nickhil Jakatdar 1, Junwei Bao, Costas J. Spanos Dept. of Electrical Engineering and Computer Sciences, University of California at Berkeley,

More information

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process MEEN 489-500 Nanoscale Issues in Manufacturing Lithography Lecture 1: The Lithographic Process 1 Discuss Reading Assignment 1 1 Introducing Nano 2 2 Size Matters 3 3 Interlude One-The Fundamental Science

More information

Resist material for negative tone development process

Resist material for negative tone development process Resist material for negative tone development process FUJIFILM Corporation Electronic Materials Research Laboratories P-1 Outline 1. Advantages of negative tone imaging for DP 2. Process maturity of negative

More information

Introduction. Photoresist : Type: Structure:

Introduction. Photoresist : Type: Structure: Photoresist SEM images of the morphologies of meso structures and nanopatterns on (a) a positively nanopatterned silicon mold, and (b) a negatively nanopatterned silicon mold. Introduction Photoresist

More information

Recent progress in nanoparticle photoresist development for EUV lithography

Recent progress in nanoparticle photoresist development for EUV lithography Recent progress in nanoparticle photoresist development for EUV lithography Kazuki Kasahara ab, Vasiliki Kosma b, Jeremy Odent b, Hong Xu b, Mufei Yu b, Emmanuel P. Giannelis b, Christopher K. Ober b a

More information

Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems

Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems Seiichi Tagawa The Institute of Scientific and Industrial

More information

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law Chris A. Mack Lithoguru.com, 1605 Watchhill Rd, Austin, TX 78703 Abstract Moore s Law, the idea that every

More information

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XVI, SPIE Vol. 3678, pp. 1-1011. It

More information

The deprotection reaction front profile in model 193 nm methacrylate-based chemically amplified photoresists

The deprotection reaction front profile in model 193 nm methacrylate-based chemically amplified photoresists The deprotection reaction front profile in model 193 nm methacrylate-based chemically amplified photoresists Bryan D. Vogt a, Shuhui Kang a, Vivek M. Prabhu *a, Ashwin Rao a, Eric K. Lin a, Sushil K. Satija

More information

Nanostructures Fabrication Methods

Nanostructures Fabrication Methods Nanostructures Fabrication Methods bottom-up methods ( atom by atom ) In the bottom-up approach, atoms, molecules and even nanoparticles themselves can be used as the building blocks for the creation of

More information

Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation

Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation Study of Deprotection Reaction during Exposure in hemically Amplified Resists for Lithography Simulation Yasuhiro Miyake, Mariko Isono and Atsushi Sekiguchi Litho Tech Japan orporation, 2-6-6-201, Namiki,

More information

Overview of the main nano-lithography techniques

Overview of the main nano-lithography techniques Overview of the main nano-lithography techniques Soraya Sangiao sangiao@unizar.es Outline Introduction: Nanotechnology. Nano-lithography techniques: Masked lithography techniques: Photolithography. X-ray

More information

Modeling Solvent Effects in. Optical Lithography

Modeling Solvent Effects in. Optical Lithography Modeling Solvent Effects in Optical Lithography by Chris A. Mack Copyright 1998 by Chris Alan Mack Modeling Solvent Effects in Optical Lithography by Chris Alan Mack, B.S., M.S. Dissertation Presented

More information

Selective Processes: Challenges and Opportunities in Semiconductor Scaling

Selective Processes: Challenges and Opportunities in Semiconductor Scaling Selective Processes: Challenges and Opportunities in Semiconductor Scaling June 4, 2018 Kandabara Tapily TEL Technology Center, America, LLC IITC 2018 Selective Deposition Workshop K. Tapily/ IITC 2018

More information

Top down and bottom up fabrication

Top down and bottom up fabrication Lecture 24 Top down and bottom up fabrication Lithography ( lithos stone / graphein to write) City of words lithograph h (Vito Acconci, 1999) 1930 s lithography press Photolithography d 2( NA) NA=numerical

More information

Exploration of non-chemically amplified resists based on chain-scission mechanism for 193 nm lithography

Exploration of non-chemically amplified resists based on chain-scission mechanism for 193 nm lithography Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 2010 Exploration of non-chemically amplified resists based on chain-scission mechanism for 193 nm lithography

More information

A Simple Model of Line-Edge Roughness

A Simple Model of Line-Edge Roughness A Simple Model of Line-Edge Roughness Chris A. Mack www.lithoguru.com Abstract A stochastic modeling approach is used to predict the results of the exposure and post-exposure bake of a chemically amplified

More information

Study on Improved Resolution of Thick Film Resist (Verification by Simulation)

Study on Improved Resolution of Thick Film Resist (Verification by Simulation) Study on Improved Resolution of Thick Film Resist (Verification by Simulation) Yoshihisa Sensu, Atsushi Sekiguchi, Yasuhiro Miyake Litho Tech Japan Corporation 2-6-6 Namiki, Kawaguchi, Saitama, 332-0034,

More information

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM U.S. -KOREA Forums on Nanotechnology 1 CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM February 17 th 2005 Eung-Sug Lee,Jun-Ho Jeong Korea Institute of Machinery & Materials U.S. -KOREA Forums

More information

UNCONVENTIONAL PROCESSING AND CHARACTERIZATION METHODS IN LITHOGRAPHY

UNCONVENTIONAL PROCESSING AND CHARACTERIZATION METHODS IN LITHOGRAPHY UNCONVENTIONAL PROCESSING AND CHARACTERIZATION METHODS IN LITHOGRAPHY by Jing Sha This thesis/dissertation document has been electronically approved by the following individuals: Ober,Christopher Kemper

More information

Lecture 14 Advanced Photolithography

Lecture 14 Advanced Photolithography Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes

More information

Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication

Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication Benjamen M. Rathsack, Cyrus E. Tabery, Timothy B. Stachowiak, Jeff Albelo 2 and C. Grant Willson Department

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 5 MICRO AND NANOPROCESSING TECHNOLOGIES Introduction Ion lithography X-ray lithography Soft lithography E-beam lithography Concepts and processes Lithography systems Masks and resists Chapt.9.

More information

EUVL Readiness for High Volume Manufacturing

EUVL Readiness for High Volume Manufacturing EUVL Readiness for High Volume Manufacturing Britt Turkot Intel Corporation Outline Exposure Tool Progress Power Availability Intel demo results Reticle Defectivity Pellicle Materials Conclusion 2 Source

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

Study of Block Copolymer Lithography using SCFT: New Patterns and Methodology

Study of Block Copolymer Lithography using SCFT: New Patterns and Methodology Study of Block Copolymer Lithography using SCFT: New Patterns and Methodology Su-Mi Hur Glenn Fredrickson Complex Fluids Design Consortium Annual Meeting Monday, February 2, 2009 Materials Research Laboratory

More information

More on Stochastics and the Phenomenon of Line-Edge Roughness

More on Stochastics and the Phenomenon of Line-Edge Roughness More on Stochastics and the Phenomenon of Line-Edge Roughness Chris A. Mack 34 th International Photopolymer Science and Technology Conference Chiba, Japan, June 28, Conclusions We need more than just

More information

Hiroshi Ito and Mark Sherwood. IBMAlmaden Research Center 650 Harry Road San Jose, CA 95120, U S. A ibm. com.

Hiroshi Ito and Mark Sherwood. IBMAlmaden Research Center 650 Harry Road San Jose, CA 95120, U S. A ibm. com. Journal of Photopolymer Science and Technology Volumel2,Number4(1999) 625-636 1999TAPJ Investigation of Deep UV Solvents, Chemistries, Resists by NMR: Residual and PAG Decomposition in Casting Film Hiroshi

More information

Exploration of non-chemically amplified resists based on dissolution inhibitors for 193 nm lithography

Exploration of non-chemically amplified resists based on dissolution inhibitors for 193 nm lithography Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 2010 Exploration of non-chemically amplified resists based on dissolution inhibitors for 193 nm lithography Burak

More information

Impact of Pellicle on Overlay in Double Patterning Lithography

Impact of Pellicle on Overlay in Double Patterning Lithography Impact of Pellicle on Overlay in Double Patterning Lithography Oliver Loeffler 1, Frank Laske 2, Michael Ferber 2, Klaus-Dieter Roeth 2, Lin Chua 3, You Seung Jin 3, Gino Marcuccilli 3, Venkat Nagaswami

More information

EUV Lithography Towards Industrialization

EUV Lithography Towards Industrialization EUV Lithography Towards Industrialization Wim van der Zande, Director of Research, ASML Dublin Meeting November 2014 Slide 2 Agenda EUV benefit and status at customers Towards higher productivity Summary

More information

Thin Wafer Handling Challenges and Emerging Solutions

Thin Wafer Handling Challenges and Emerging Solutions 1 Thin Wafer Handling Challenges and Emerging Solutions Dr. Shari Farrens, Mr. Pete Bisson, Mr. Sumant Sood and Mr. James Hermanowski SUSS MicroTec, 228 Suss Drive, Waterbury Center, VT 05655, USA 2 Thin

More information

Line Edge Roughness, part 2

Line Edge Roughness, part 2 Tutor57D.doc: Version 3/19/07 Line Edge Roughness, part T h e L i t h o g r a p h y E x p e r t (ay 007) In the last edition of this column [1], I began the difficult process of trying to understand the

More information

Lecture 8. Photoresists and Non-optical Lithography

Lecture 8. Photoresists and Non-optical Lithography Lecture 8 Photoresists and Non-optical Lithography Reading: Chapters 8 and 9 and notes derived from a HIGHLY recommended book by Chris Mack, Fundamental Principles of Optical Lithography. Any serious student

More information

Magnetic Data Storage with Patterned Media

Magnetic Data Storage with Patterned Media f r e e d o m t o i n n o v a t e f r e e d o m t o i n n o v a t e Magnetic Data Storage with Patterned Media Neil Robertson Hitachi Global Storage Technologies San Jose Research Center Sept 08 1 Technology

More information

Orthogonal Processing: A New Strategy for Patterning Organic Electronics

Orthogonal Processing: A New Strategy for Patterning Organic Electronics 1 rthogonal Processing: A New Strategy for Patterning rganic Electronics ERC Teleconference 3/September/2009 Jin-Kyun Lee and Christopher K. ber* Materials Science & Engineering Cornell University 2 rganic

More information

EUV Resist-Fundamental Research

EUV Resist-Fundamental Research EUV Resist-Fundamental Research Akinori Saeki, Hiroki Yamamoto, Takahiro Kozawa, and Seiichi Tagawa The Institute of Scientific and Industrial Research, Osaka University CREST, Japan Science and Technology

More information

Photolithography 光刻 Part II: Photoresists

Photolithography 光刻 Part II: Photoresists 微纳光电子材料与器件工艺原理 Photolithography 光刻 Part II: Photoresists Xing Sheng 盛兴 Department of Electronic Engineering Tsinghua University xingsheng@tsinghua.edu.cn 1 Photolithography 光刻胶 负胶 正胶 4 Photolithography

More information

Understanding Molecular Level Effects during Post Exposure Processing

Understanding Molecular Level Effects during Post Exposure Processing Understanding Molecular Level Effects during Post Exposure Processing Gerard M. Schmid *a, Mark D. Smith b, Chris A. Mack b, Vivek K. Singh c, Sean D. Burns a, and C. Grant Willson a a The University of

More information

Important challenge for the extension of Spacer DP process

Important challenge for the extension of Spacer DP process Important challenge for the extension of Spacer DP process H. Yaegashi Tokyo Electron Limited Leading-edge Process development center Kobe, JAPAN 21 October 2010 1 Outline Background Lithographic scaling

More information

SHRINK. STACK. INTEGRATE.

SHRINK. STACK. INTEGRATE. SHRINK. STACK. INTEGRATE. SUSS MICROTEC PRODUCT PORTFOLIO SHAPING THE FUTURE With more than 60 years of engineering experience SUSS MicroTec is a leading supplier of process equipment for microstructuring

More information

Supercritical CO 2 Processing for Submicron Imaging of Fluoropolymers

Supercritical CO 2 Processing for Submicron Imaging of Fluoropolymers Chem. Mater. 2000, 12, 41-48 41 Supercritical CO 2 Processing for Submicron Imaging of Fluoropolymers Narayan Sundararajan, Shu Yang, Kenji Ogino, Suresh Valiyaveettil, Jianguo Wang, Xinyi Zhou, and Christopher

More information

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks Hongseong Sohn and John Tracy Akrion Systems 6330 Hedgewood Drive, Suite 150 Allentown, PA 18106, USA Abstract

More information

Silicon VLSI Technology. Fundamentals, Practice and Modeling

Silicon VLSI Technology. Fundamentals, Practice and Modeling Text Book: Silicon VLSI Technology Fundamentals, Practice and Modeling Authors: J. D. Plummer, M. D. Deal, and P. B. Griffin Photolithography (Chap. 1) Basic lithography process Apply photoresist Patterned

More information

Simulation and characterization of surface and line edge roughness in photoresists before and after etching

Simulation and characterization of surface and line edge roughness in photoresists before and after etching Simulation and characterization of surface and line edge roughness in photoresists before and after etching Motivation of this work : Sub 100nm lithographic features often suffer from roughness. Need to

More information

Table of Contents. Foreword... Jörge DE SOUSA NORONHA. Introduction... Michel BRILLOUËT

Table of Contents. Foreword... Jörge DE SOUSA NORONHA. Introduction... Michel BRILLOUËT Table of Contents Foreword... Jörge DE SOUSA NORONHA Introduction... Michel BRILLOUËT xi xvii Chapter 1. Photolithography... 1 Philippe BANDELIER, Anne-Laure CHARLEY and Alexandre LAGRANGE 1.1. Introduction...

More information

Molecular Organometallic Resists for EUV (MORE) October 6, 2013

Molecular Organometallic Resists for EUV (MORE) October 6, 2013 Molecular Organometallic Resists for EUV (MORE) October 6, 2013 Brian Cardineau, 1 James Passarelli, 1 Miriam Sortland, 1 Ryan Del Re, 1 Westly Tear, 1 Hashim Al-Mashat, 2 Miles Marnell, 2 Kara Heard,

More information

RLS Trade-Off: Questions about Molecular Size and Quantum Yield

RLS Trade-Off: Questions about Molecular Size and Quantum Yield RLS Trade-Off: Questions about Molecular Size and Quantum Yield Robert Brainard and Craig Higgins Supported by SEMATECH and Rohm and Haas 10/2/08 1 Outline I. Introduction II. III. IV. Effect of Molecular

More information

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 Bob O'Handley Martin Schmidt Quiz Nov. 17, 2004 Ion implantation, diffusion [15] 1. a) Two identical p-type Si wafers (N a = 10 17 cm

More information

Nanofabrication using thermal probes

Nanofabrication using thermal probes cqom, February 2013 Nanofabrication using thermal probes Urs Duerig, IBM Research Zurich Thermo-Mechanical Patterning: Microfabrication: Directed Assembly: Polymer Synthesis: Armin Knoll, Felix Holzner,

More information

Is an EUV Film Quantum Yield of 30 Possible?

Is an EUV Film Quantum Yield of 30 Possible? Is an EUV Film Quantum Yield of 30 Possible? February 21, 2016 Resist TWG San Jose, CA Greg Denbeaux, Amrit Narasimhan, Steven Grzeskowiak, and Robert L. Brainard SUNY Polytechnic Institute Resist TWG

More information

The SMART Process for Directed Block Co-Polymer Self-Assembly

The SMART Process for Directed Block Co-Polymer Self-Assembly Journal of Photopolymer Science and Technology Volume 26, Number 5 (2013) 573 579 2013SPST The SMART Process for Directed Block Co-Polymer Self-Assembly Jihoon Kim*, Jingxiu Wan, Shinji Miyazaki, Jian

More information

Spatial distribution of reaction products in positive tone chemically amplified resists

Spatial distribution of reaction products in positive tone chemically amplified resists Spatial distribution of reaction products in positive tone chemically amplified resists Gerard M. Schmid and Michael D. Stewart Department of Chemical Engineering, The University of Texas at Austin, Austin,

More information

Nanolithography Techniques

Nanolithography Techniques Nanolithography Techniques MSE 505 / MSNT 505 P. Coane Outline What Is Nanotechnology? The Motivation For Going Small Nanofabrication Technologies Basic Techniques Nano Lithography NANOTECHNOLOGY Nanotechnology

More information

Effects of photoresist polymer molecular weight on line-edge roughness and its metrology probed with Monte Carlo simulations

Effects of photoresist polymer molecular weight on line-edge roughness and its metrology probed with Monte Carlo simulations Microelectronic Engineering xxx (2004) xxx xxx www.elsevier.com/locate/mee Effects of photoresist polymer molecular weight on line-edge roughness and its metrology probed with Monte Carlo simulations G.P.

More information

High sensitivity nanocomposite resist materials for X-ray and EUV Lithography

High sensitivity nanocomposite resist materials for X-ray and EUV Lithography High sensitivity nanocomposite resist materials for X-ray and EUV Lithography Mohammad Azam Au1, Kenneth E. Gonsalves', N. Batina'V. Golovkina3 and F. Cerrina3. 1Department of Chemistry & NanoTech. Research

More information

Single Layer Fluoropolymer Resists for 157 nm Lithography

Single Layer Fluoropolymer Resists for 157 nm Lithography Single Layer Fluoropolymer Resists for 157 nm Lithography M. K. Crawford *, W. B. Farnham, A. E. Feiring, J. Feldman, R. H. French, K. W. Leffew, V. A. Petrov, W. Qiu, F. L. Schadt III, H.V. Tran, R. C.

More information

ADVANCED STORAGE TECHNOLOGY CONSORTIUM RESEARCH PROPOSAL TEMPLATE

ADVANCED STORAGE TECHNOLOGY CONSORTIUM RESEARCH PROPOSAL TEMPLATE ADVANCED STORAGE TECHNOLOGY CONSORTIUM RESEARCH PROPOSAL TEMPLATE RADIALLY ORDERED BIT PATTERNED MEDIA USING A COMBINATION OF NANOIMPRINT LITHOGRAPHY AND SELF-ASSEMBLY AND FABRICATION OF PATTERN MEDIA

More information