Selective Processes: Challenges and Opportunities in Semiconductor Scaling

Size: px
Start display at page:

Download "Selective Processes: Challenges and Opportunities in Semiconductor Scaling"

Transcription

1 Selective Processes: Challenges and Opportunities in Semiconductor Scaling June 4, 2018 Kandabara Tapily TEL Technology Center, America, LLC IITC 2018 Selective Deposition Workshop K. Tapily/ IITC 2018 Workshop/Selective Processes and Challenges 1

2 Contents Technology trends: challenges and approach in patterning Selective Deposition opportunities and challenges Summary K. Tapily/ IITC 2018 Workshop/Selective Processes and Challenges 2

3 Technology trends

4 Technology trend 3D Architecture Nano-wire Planar FET FinFET High AR of DRAM 3D NAND large stack 1000 [nm] i-line KrF Resolution Wavelength ArF Scaling Vertical utilization is the key approach towards sub-10nm generation immersion 14 EUV K. Tapily/ IITC 2018 Workshop/Selective Processes and Challenges 4

5 Metal Pitch Nano Wire Nano Sheet CFET/VFET Logic trend and challenges FEOL MOL Advanced patterning technology Continuous evolution of transistor structure Advanced patterning technology Continuous scaling Limit of 193i single exposure N16/14 N20 N28 Poly Gate Pitch Limit of 193i single exposure BEOL Alignment Resistivity N3 N5 N7 N10 Advanced patterning technology Capacitance Advanced patterning technology is the key to continuous scaling and transistor structure evolution K. Tapily/ IITC 2018 Workshop/Selective Processes and Challenges 5

6 Design trend and challenges 2D layout Grid challenge 1D layout Single Exposure Line cut challenge roughness Pitch walk SADP SAQP alignment complexity Grid + Cut/block 1D layout SADP : Self-Aligned Double Patterning SAQP : Self-Aligned Quadruple Exposure Layout decomposition to 1D is a break through to scaling, but also creates new challenges (source : TEL) K. Tapily/ IITC 2018 Workshop/Selective Processes and Challenges 6

7 Patterning challenges and approach

8 Patterning challenges Grid formation Line cutting Final Pattern SADP SAQP LE LELE LELELE (EPE : Edge Placement Error) Mandrel, spacer, cuts Traditional sources of CD variation Roughness Previous Pattern Cuts to the grid Cuts to each other EPE is the fundamental challenge for advanced patterning technology K. Tapily/ IITC 2018 Workshop/Selective Processes and Challenges 8

9 EPE (nm) EPE requirement (10nm hp) 20nm cut mask 10nm 10nm ±5.0nm Cut mask case BEOL case 7.0 Device requirement Process-induced half pitch (nm) EPE = +(PitchErorr grating ) 2 +(CDU hole ) 2 + (Overlay) 2 2.0nm 2.0nm 4.0nm Metal Metal Via Continuous EPE improvement is needed along with scaling K. Tapily/ IITC 2018 Workshop/Selective Processes and Challenges 9

10 Typical EPE factors CD variation a-c S io 2 a-c SiO 2 SiN (N. Kuboi et al, J Vac. Sci. Technol. A 31 (6), Nov/Dec 2013) Roughness (LER / LWR / CER) Pattern profile (leaning) Etch clogging Loading effect Overlay Mx+1 Mx Litho-Etch Litho-Etch OVL Litho-Etch OVL Alignment of cut/block to gird Alignment of via to metal lines Alignment of holes at multiple LE Numerous factors impact EPE and should be addressed K. Tapily/ IITC 2018 Workshop/Selective Processes and Challenges 10

11 Patterning paradigm towards placement accuracy Bottom-up lithography Shorter wavelength Immersion EUV i-line KrF ArF Top-down lithography + Multiple patterning LEx SAMP Shrink + Self-alignment ALD / ALE Multi color Self-alignment + self-assembly Selective deposition DSA The paradigm is expanding to self-alignment and bottom up approach K. Tapily/ IITC 2018 Workshop/Selective Processes and Challenges 11

12 Patterning challenges and approaches Grid formation Typical scheme SADP SAQP Challenge Potential approach LER, LWR, local CDU Etch smoothing (DCS) Spacer reshape Spacer leaning Dep / cure and trim Cost PR mandrel Cut / Block LEx CD, CDU, CER Healing, shrink Via formation New process development Alignment with grid (within layer) SAB Cost and complexity mitigation EUV LEx CD, CDU, CER Healing, shrink Alignment with metal lines (inter layers) FSAV Cost and complexity mitigation EUV Atomic level process ALD / ALE Bottom up lithography Selective deposition K. Tapily/ IITC 2018 Workshop/Selective Processes and Challenges 12

13 Process technology examples

14 Self-alignment through etch selectivity Intended design Conventional approach using L/S grid Self-aligned approach with 3 grid colors C B A B C B A B B A B C Etch selects mandrel A Core B Spacer C Fill material Etch selects fill material Self-alignment of cut/block is enabled by SAB, using etch selectivity K. Tapily/ IITC 2018 Workshop/Selective Processes and Challenges 14

15 Placement margin improvement by SAB half pitch Conventional half pitch SAB (pitch assumption: 24nm) etch etch Lithography OL is restricted to be within 6nm (regardless of 193i or EUV) Lithography OL limit will be covered within the margin Placement margin of hard mask is 3 times relaxed K. Tapily/ IITC 2018 Workshop/Selective Processes and Challenges 15

16 Flexibility of material selection for SAB Potential material combinations SAB demonstration (case 2) Case # Mandrel (A) Spacer (B) Fill (C) 1 a-si MeOx SOG 2 SiN Oxide SOC 3 a-si Oxide SOM A = Mandrel B = Spacer C = Fill material Combination of non-metal materials Various material combinations can be implemented Combination of non-metal materials is demonstrated K. Tapily/ IITC 2018 Workshop/Selective Processes and Challenges 16

17 Atomic level process approach 22

18 Evolution of the Self-aligned Technology: New integration and material Innovation Self-Aligned Self-Limited Atomic Layer Deposition Säynätjoki 8 May 2012, SPIE Newsroom. DOI / Atomic Layer Etching Self-Directed Younkin SPIE 2015 Kim JES EDL 158, 12, 2011, D710-4 doi: / jes K. Tapily/ IITC 2018 Workshop/Selective Processes and Challenges 18

19 Dep. amount [nm] Atomic Layer Deposition (ALD) technology Initial Si 1adsorption st reactant Purge Oxidation O 2 plasma Purge cyclic Si Precursor O* radical Self-limited reaction (0.2nm / cycle) ALD 50cycle Adsorption step time [sec.] saturated Film coverage comparison Conventional (CVD) ALD (ALD : Atomic Layer Deposition) ( Top / Side / Btm) 18/8/13nm 18/12/16nm 18/17/18nm 18/18/18nm uniform coverage Conformal deposition is enabled by self-limited reaction at atomic level K. Tapily/ IITC 2018 Workshop/Selective Processes and Challenges 19

20 ALE Technology (AlOx example) 1 Etchant Adsorption 2 Etchant Purge 4 Etching Products Purge 1 cycle 3 Etching Products Desorption Ar neutral beam irradiation Min Microelectronic Eng. 110 (2013) Anisotropic ALE by self-limiting half cycles K. Tapily/ IITC 2018 Workshop/Selective Processes and Challenges 20

21 Thermal ALE Reverse ALD through ligand exchange K. Tapily/ IITC 2018 Workshop/Selective Processes and Challenges 21

22 Multiple options of atomic layer approach Approach Atomic layer (A) Several layers (B) Ion Modification (C) Schematic diagram Time control B Adsorption Activation Self-limiting Self-limiting Time control Quasi self-limiting Loading Free Controlled by step parameter Semi self-limiting Semi self-limiting Semi Free Polymer No use Thin polymer No use Etched film Si, Ge SiO 2, SiN SiN Throughput Slow OK Middle Selectivity Infinite High Ultrahigh T Self-limiting time C Semi self-limiting A Optimal approach can be selected by the requirement of material combination, selectivity and throughput K. Tapily/ IITC 2018 Workshop/Selective Processes and Challenges 22

23 Quasi-ALE technology SiO2 etch Initial Adsorption Activation Desorption (radical transportation) Ar (ion bombardment) FC film xn SiO 2 (ALE : Atomic Layer Etch) Several layers of adsorption Example : Self-Aligned Contact Conventional Quasi-ALE Mask SiO 2 SiN 1. High SiN selectivity 2. Narrow slit etch M. Honda, et al,, J. Phys. D: Appl. Phys. 50 (2017) High selective etch is enabled by independent control of radical and ion flux. K. Tapily/ IITC 2018 Workshop/Selective Processes and Challenges 23

24 Novel SiN etch technology Ion Modification step Chemical Removal step Modified layer cyclic SiN Modified layer formation by ion activation Example : SiN spacer etch SiN Modified layer removal by radical reaction Initial Conventional Novel process SiN SiO 2 Si Si loss : 7.8nm SiO2 loss : 13.6nm Bad footing Si loss : 0.0nm SiO2 loss : 1.6nm Excellent footing High selective etch is enabled by semi self-limiting reaction of SiN K. Tapily/ IITC 2018 Workshop/Selective Processes and Challenges 24

25 Selective Deposition Toolbox/Opportunities Wallace, AVS, 2015 K. Tapily/ IITC 2018 Workshop/Selective Processes and Challenges 25

26 thickness Area Selective Deposition (ASD) Objectives Device fabrication requires due to scaling to make simple process scheme Growth on A Selectivity regime Growth on B Requirements Cycle/time 1. Difference in surface chemistries between growth and non growth areas 2. Deposition on either Dielectric or Metal on Dielectric or Metal 3. No deposition on undesired surfaces 4. Orthogonal film growth Litho. / Etch / Depo Selective deposition Advantages Current Scheme No litho. / Etch process needed No scaling limit Dielectric Metal or Si Depo. Concerns Multiple processes Impact CPW New technology required K. Tapily/ IITC 2018 Workshop/Selective Processes and Challenges 26

27 Approaches to Area Selective Deposition (ASD) ASD by Inherent Selectivity Metal on metal Epitaxy of Si ASD by Ligand/precursor design Precursor inhibition or reactant inhibition ASD by Surface deactivation or activation Passivation by Self-assembled monolayer (SAM) ASD by Combination of deposition and etch Topographically selective Selectivity enhancement by correction step ASD by Inhibition during ALD (ABC or ABCD) process K. Tapily/ IITC 2018 Workshop/Selective Processes and Challenges 27

28 Selective deposition by inherent material selectivity (Example Metal on metal) growth CD: 46.4nm 6.2nm SiO2 W Ru 106.9nm 200nm 50nm X-TEM Ru W EDX mapping growth 41.7nm SiO2 Ru 37.7nm Ru W K. Tapily, AVS Focus Topic Selective Deposition, San Jose 2015 Deposition of Ru is demonstrated to grow on W only K. Tapily/ IITC 2018 Workshop/Selective Processes and Challenges 28

29 unfavorable Selective Deposition by Ligand Design 3eV Material A Material B 2eV 1eV -1eV -2eV favorable Tailor precursor chemistry for favorable reactions on desired surfaces Need to be explored -3eV K. Tapily/ IITC 2018 Workshop/Selective Processes and Challenges 29

30 Selective Deposition by Via Selective Precursor adsorption J. Singh et al., Chem. Mater., 30, 663 (2018) Selective ALD Fe 2 O 3 only growth on Pt when using O2 as co-reactant growth occurs only on the catalytic active surface K. Tapily/ IITC 2018 Workshop/Selective Processes and Challenges 30

31 Selective Deposition by Area Deactivation or Activation R. Chen et al., Appl. Phys. Lett., 86, (2005) Mackus et al., J. Phys. Chem.C,117,10788 (2013) SAM is used to block HfO2 growth on undesired surface while grows on desired surface ALD Pt grows on Pt but not on Al2O3 surface at low pressure K. Tapily/ IITC 2018 Workshop/Selective Processes and Challenges 31

32 Requirement for SAM Layer Lee and Bent, Wiley-VCH Verlag (2012) Densely packed long chain SAM Densely packed short chain SAM Tail group determines surface functionalization Head group determines substrate reaction Van der Waals ensures formation of ordered SAM SAM with bulky tail groups R. Chen, chem. Mater, 17(3), 536 (2005) SAM needs to be densely pack and reasonably long chain Loosely packed SAM with pinholes K. Tapily/ IITC 2018 Workshop/Selective Processes and Challenges 32

33 Area selective deposition by combining Deposition and Etch: topographically selective HfO 2 K. Tapily, AVS Focus Topic Selective Deposition, San Jose 2015 Note: Very high selectivity to SiO 2 Al 2 O 3 ALD high K dielectrics followed by anisotropic ALE enables thin ALD sidewall spacers K. Tapily/ IITC 2018 Workshop/Selective Processes and Challenges 33

34 Area selective deposition by combining ALD and etch: topographically selective Woo-hee Kim et al., ACS Nano, 10, 4451 (2016) Selective Pt thin film using topographically selective inhibition K. Tapily/ IITC 2018 Workshop/Selective Processes and Challenges 34

35 Selective deposition via inhibition during deposition Dielectric on dielectric HDHD Si substrate treatment: HDHD: Adding H radical treatment during after every x cycles to inhibit growth - Delayed ALD Al 2 O 3 incubation - Super ALD cycles [X (AB cycles)+h treatment] Y 10:1 4-5nm selectivity Thickness Ox Si Ox Si K. Tapily, AVS Focus Topic Selective Deposition, San Jose 2015 SPA H plasma is effective in suppressing Al 2 O 3 growth up to ~5nm on Si and not on oxides K. Tapily/ IITC 2018 Workshop/Selective Processes and Challenges 35

36 ASD using inhibition during ALD (ABC or ABCD) process Inhibitor selectively absorbed Inhibitor blocks growth Inhibitor is removed during co-reactant Mameli et al., ACS Nano, 11, 9303 (2017) Selectivity achieved via precursor deactivation using insitu inhibitor (Hacac) Different selectivity observed for different oxide surfaces K. Tapily/ IITC 2018 Workshop/Selective Processes and Challenges 36

37 Challenges for Area Selective Deposition Surface preparation Incoming defects on non-growth surface Non growth area changes during deposition Surface functionalization/modification during the ALD deposition Finite selectivity Slow/Throughput Defectivity and defect removal Metrology and characterization Incoming surface is not clean so nucleation sites during ASD Green et al., J. Appl. Phys., 94, 3405 (2003) K. Tapily/ IITC 2018 Workshop/Selective Processes and Challenges 37

38 Can defectivity in selective deposition be controlled? K. Tapily/ IITC 2018 Workshop/Selective Processes and Challenges 38

39 Correction Step/Defect Removal F. Hashemi et al, ACS Nano, 9, 8710 (2015) F. Hashemi et al, Adv. Mater. Interfaces, (2016) Improved Selectivity using correction steps K. Tapily/ IITC 2018 Workshop/Selective Processes and Challenges 39

40 thickness Metrology and Characterization Blanket studies necessary to understand growth mechanism and loss of selectivity Structural properties of the deposited films Surface coverage and selectivity numbers Selectivity regime Growth on A XPS, FTIR, RBS, SIMS. Develop metrology for relevant structures with scaled dimension Growth on B Defectivity Cycle/time K. Tapily/ IITC 2018 Workshop/Selective Processes and Challenges 40

41 Summary 32

42 Patterning paradigm towards placement accuracy Self-Alignment + Self-Assembly Top-Down Lithography Shorter Wavelength + Multiple Patterning LEx SAMP Shrink + Self-Alignment Atomic Level Approach Multi Color Approach Selective Deposition DSA Bottom-Up Lithography Immersion EUV i-line KrF ArF The paradigm is expanding to self-alignment and bottom up approach K. Tapily/ IITC 2018 Workshop/Selective Processes and Challenges 42

43 Summary 3D architecture and scaling are key requirements for sub 7nm generation Scaling booster along with aggressive pitch scaling will enable the next generation scaling EPE is the fundamental challenge for advanced patterning Patterning paradigm is expanding to self-alignment and bottom up approach Selective deposition will be an enabling technology in reducing complexity in advanced patterning as well as the increasing cost associated with it. Defects removal and metrology are key challenges that need to be addressed K. Tapily/ IITC 2018 Workshop/Selective Processes and Challenges 43

44 Acknowledgement R&D Team at TEL Technology Center, America, LLC (TTCA) T. Tsunomura at TEL Corporate Technology Marketing (CTM) R&D Team at TEL Technology Solutions (TTS) K. Nawa at TEL Process Integration Center (PIC) Thank you for your attention! K. Tapily/ IITC 2018 Workshop/Selective Processes and Challenges 44

45 K. Tapily/ IITC 2018 Workshop/Selective Processes and Challenges 45

Patterning Challenges and Opportunities: Etch and Film

Patterning Challenges and Opportunities: Etch and Film Patterning Challenges and Opportunities: Etch and Film Ying Zhang, Shahid Rauf, Ajay Ahatnagar, David Chu, Amulya Athayde, and Terry Y. Lee Applied Materials, Inc. SEMICON, Taiwan 2016 Sept. 07-09, 2016,

More information

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning SEMI Texas Spring Forum May 21, 2013 Austin, Texas Author / Company / Division / Rev. / Date A smartphone today has

More information

Procédés de dépôt plasma avec injection pulsée de précurseurs (PECVD et PEALD) :

Procédés de dépôt plasma avec injection pulsée de précurseurs (PECVD et PEALD) : Procédés de dépôt plasma avec injection pulsée de précurseurs (PECVD et PEALD) : Impact du réacteur et de la pression et développement de dépôts sélectifs C. Vallée 1,3, R. Gassilloud 2, R. Vallat 1,2,

More information

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials 1 Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials Thorsten Lill, Keren J. Kanarik, Samantha Tan, Meihua Shen, Alex Yoon, Eric Hudson, Yang Pan, Jeffrey Marks, Vahid Vahedi, Richard A. Gottscho

More information

Introduction to / Status of Directed Self- Assembly

Introduction to / Status of Directed Self- Assembly Introduction to / Status of Directed Self- Assembly DSA Workshop, Kobe Japan, October 2010 Bill Hinsberg IBM Almaden Research Center San Jose CA 95120 hnsbrg@almaden.ibm.com 2010 IBM Corporation from Bringing

More information

ALD Nucleation and Area-Selective Deposition

ALD Nucleation and Area-Selective Deposition ALD Nucleation and Area-Selective Deposition Prof Gregory N. Parsons Department of Chemical and Biomolecular Engineering North Carolina State University Raleigh North Carolina USA 1 Outline 1. Nucleation

More information

Important challenge for the extension of Spacer DP process

Important challenge for the extension of Spacer DP process Important challenge for the extension of Spacer DP process H. Yaegashi Tokyo Electron Limited Leading-edge Process development center Kobe, JAPAN 21 October 2010 1 Outline Background Lithographic scaling

More information

ALD-enabled nanopatterning: area-selective ALD by area-activation

ALD-enabled nanopatterning: area-selective ALD by area-activation ALD-enabled nanopatterning: area-selective ALD by area-activation Adrie Mackus, Ageeth Bol, and Erwin Kessels w.m.m.kessels@tue.nl www.tue.nl/pmp Outline Introduction & Area-selective deposition Area-selective

More information

SEMATECH Knowledge Series 2010

SEMATECH Knowledge Series 2010 SEMATECH Knowledge Series 2010 Summary of the SEMATECH Workshop on Directed Self Assembly Lloyd Litt SEMATECH/GF Bill Hinsberg - IBM 20 October 2010 Kobe, Japan Copyright 2010 Advanced Materials Research

More information

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by. PROCEEDINGS OF SPIE Alternative Lithographic Technologies VII Douglas J. Resnick Christopher Bencher Editors 23-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by DNS

More information

Agenda. 1. Atomic Layer Deposition Technology

Agenda. 1. Atomic Layer Deposition Technology Agenda 1. Atomic Layer Deposition Technology 2. What is ALD? Atomic Layer Deposition is invented in 1977 by T. Suntola et al. - New Deposition Method for Electro-Luminescent Display (ZnS:Mn Thin Films)

More information

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices 1 UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices Katsuya Watanabe

More information

There's Plenty of Room at the Bottom

There's Plenty of Room at the Bottom There's Plenty of Room at the Bottom 12/29/1959 Feynman asked why not put the entire Encyclopedia Britannica (24 volumes) on a pin head (requires atomic scale recording). He proposed to use electron microscope

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

Reaction Mechanism of Area-Selective Atomic

Reaction Mechanism of Area-Selective Atomic Supporting Information Reaction Mechanism of Area-Selective Atomic Layer Deposition for Al 2 O 3 Nanopatterns Seunggi Seo 1, Il-Kwon Oh 1, Byung Chul Yeo 1, 2, Sang Soo Han 2, Chang Mo Yoon 1, JOON YOUNG

More information

Graphene devices and integration: A primer on challenges

Graphene devices and integration: A primer on challenges Graphene devices and integration: A primer on challenges Archana Venugopal (TI) 8 Nov 2016 Acknowledgments: Luigi Colombo (TI) UT Dallas and UT Austin 1 Outline Where we are Issues o Contact resistance

More information

Supporting Online Material for

Supporting Online Material for www.sciencemag.org/cgi/content/full/327/5966/662/dc Supporting Online Material for 00-GHz Transistors from Wafer-Scale Epitaxial Graphene Y.-M. Lin,* C. Dimitrakopoulos, K. A. Jenkins, D. B. Farmer, H.-Y.

More information

Supplementary Figure 1 Experimental setup for crystal growth. Schematic drawing of the experimental setup for C 8 -BTBT crystal growth.

Supplementary Figure 1 Experimental setup for crystal growth. Schematic drawing of the experimental setup for C 8 -BTBT crystal growth. Supplementary Figure 1 Experimental setup for crystal growth. Schematic drawing of the experimental setup for C 8 -BTBT crystal growth. Supplementary Figure 2 AFM study of the C 8 -BTBT crystal growth

More information

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML Double patterning for 32nm and below: an update Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML Mireille Maenhoudt, Shaunee Cheng, Tom Vandeweyer IMEC / Slide 1

More information

TRANSVERSE SPIN TRANSPORT IN GRAPHENE

TRANSVERSE SPIN TRANSPORT IN GRAPHENE International Journal of Modern Physics B Vol. 23, Nos. 12 & 13 (2009) 2641 2646 World Scientific Publishing Company TRANSVERSE SPIN TRANSPORT IN GRAPHENE TARIQ M. G. MOHIUDDIN, A. A. ZHUKOV, D. C. ELIAS,

More information

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance 1 Seminar Spacer Lithography for Reduced Variability in MOSFET Performance Prof. Tsu-Jae King Liu Electrical Engineering & Computer Sciences Dept. University of California at Berkeley Graduate Student:

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

Effective Capacitance Enhancement Methods for 90-nm DRAM Capacitors

Effective Capacitance Enhancement Methods for 90-nm DRAM Capacitors Journal of the Korean Physical Society, Vol. 44, No. 1, January 2004, pp. 112 116 Effective Capacitance Enhancement Methods for 90-nm DRAM Capacitors Y. K. Park, Y. S. Ahn, S. B. Kim, K. H. Lee, C. H.

More information

Three Approaches for Nanopatterning

Three Approaches for Nanopatterning Three Approaches for Nanopatterning Lithography allows the design of arbitrary pattern geometry but maybe high cost and low throughput Self-Assembly offers high throughput and low cost but limited selections

More information

Taurus-Topography. Topography Modeling for IC Technology

Taurus-Topography. Topography Modeling for IC Technology SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD Aurora DFM WorkBench Davinci Medici Raphael Raphael-NES Silicon Early Access TSUPREM-4 Taurus-Device Taurus-Lithography

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

EE 292L : Nanomanufacturing. Week 5: Advanced Process Technology. Oct

EE 292L : Nanomanufacturing. Week 5: Advanced Process Technology. Oct EE 292L : Nanomanufacturing Week 5: Advanced Process Technology Oct 22 2012 1 Advanced Process Technology 1 HAR etch 2 3 HAR Gapfill Metal ALD 4 Reflow 5 6 SAC Airgap 7 8 Strain Ge/III-V Engineering 1

More information

High-density data storage: principle

High-density data storage: principle High-density data storage: principle Current approach High density 1 bit = many domains Information storage driven by domain wall shifts 1 bit = 1 magnetic nanoobject Single-domain needed Single easy axis

More information

Supporting Information

Supporting Information Supporting Information Monolithically Integrated Flexible Black Phosphorus Complementary Inverter Circuits Yuanda Liu, and Kah-Wee Ang* Department of Electrical and Computer Engineering National University

More information

Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development

Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development Center for High Performance Power Electronics Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development Dr. Wu Lu (614-292-3462, lu.173@osu.edu) Dr. Siddharth Rajan

More information

Film Deposition Part 1

Film Deposition Part 1 1 Film Deposition Part 1 Chapter 11 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2013 Saroj Kumar Patra Semidonductor Manufacturing Technology, Norwegian University of

More information

Techniken der Oberflächenphysik (Techniques of Surface Physics)

Techniken der Oberflächenphysik (Techniques of Surface Physics) Techniken der Oberflächenphysik (Techniques of Surface Physics) Prof. Yong Lei & Dr. Yang Xu (& Liying Liang) Fachgebiet 3D-Nanostrukturierung, Institut für Physik Contact: yong.lei@tu-ilmenau.de; yang.xu@tu-ilmenau.de;

More information

Accelerated Neutral Atom Beam (ANAB)

Accelerated Neutral Atom Beam (ANAB) Accelerated Neutral Atom Beam (ANAB) Development and Commercialization July 2015 1 Technological Progression Sometimes it is necessary to develop a completely new tool or enabling technology to meet future

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Abstract UV radiation during plasma processing affects the surface of materials. Nevertheless, the interaction of UV photons with surface

More information

The Pennsylvania State University. Kurt J. Lesker Company. North Carolina State University. Taiwan Semiconductor Manufacturing Company 1

The Pennsylvania State University. Kurt J. Lesker Company. North Carolina State University. Taiwan Semiconductor Manufacturing Company 1 Enhancement Mode Strained (1.3%) Germanium Quantum Well FinFET (W fin =20nm) with High Mobility (μ Hole =700 cm 2 /Vs), Low EOT (~0.7nm) on Bulk Silicon Substrate A. Agrawal 1, M. Barth 1, G. B. Rayner

More information

SELECTIVE ETCH REQUIREMENTS FOR THE NEXT GENERATION OF SEMICONDUCTOR DEVICES

SELECTIVE ETCH REQUIREMENTS FOR THE NEXT GENERATION OF SEMICONDUCTOR DEVICES SELECTIVE ETCH REQUIREMENTS FOR THE NEXT GENERATION OF SEMICONDUCTOR DEVICES FRANK HOLSTEYNS ON BEHALF OF THE SURFACE AND INTERFACE PREPARATION GROUP OF THE UNIT PROCESS DEPARTMENT 10 TH OF APRIL 2018

More information

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC The Impact of EUV on the Semiconductor Supply Chain Scotten W. Jones President IC Knowledge LLC sjones@icknowledge.com Outline Why EUV Who needs EUV EUV adoption roadmaps EUV wafer volume projections Impact

More information

Optical Proximity Correction

Optical Proximity Correction Optical Proximity Correction Mask Wafer *Auxiliary features added on mask 1 Overlay Errors + + alignment mask wafer + + photomask plate Alignment marks from previous masking level 2 (1) Thermal run-in/run-out

More information

A. Optimizing the growth conditions of large-scale graphene films

A. Optimizing the growth conditions of large-scale graphene films 1 A. Optimizing the growth conditions of large-scale graphene films Figure S1. Optical microscope images of graphene films transferred on 300 nm SiO 2 /Si substrates. a, Images of the graphene films grown

More information

Sensors and Metrology. Outline

Sensors and Metrology. Outline Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

ALD & ALE Tutorial Speakers and Schedule

ALD & ALE Tutorial Speakers and Schedule ALD & ALE Tutorial Speakers and Schedule Sunday, July 29, 2018 1:00-1:05 Tutorial Welcome 1:05-1:50 1:50-2:35 2:35-3:20 Challenges of ALD Applications in Memory Semiconductor Devices, Choon Hwan Kim (SK

More information

JOHN G. EKERDT RESEARCH FOCUS

JOHN G. EKERDT RESEARCH FOCUS JOHN G. EKERDT RESEARCH FOCUS We study the surface, growth and materials chemistry of metal, dielectric, ferroelectric, and polymer thin films. We seek to understand and describe nucleation and growth

More information

Extending the Era of Moore s Law

Extending the Era of Moore s Law 14 nm chip X SEM from www.intel.com/content/dam/www/public/us/en/documents/pdf/foundry/mark bohr 2014 idf presentation.pdf Extending the Era of Moore s Law Tsu Jae King Liu Department of Electrical Engineering

More information

Supplementary Figure 1 Dark-field optical images of as prepared PMMA-assisted transferred CVD graphene films on silicon substrates (a) and the one

Supplementary Figure 1 Dark-field optical images of as prepared PMMA-assisted transferred CVD graphene films on silicon substrates (a) and the one Supplementary Figure 1 Dark-field optical images of as prepared PMMA-assisted transferred CVD graphene films on silicon substrates (a) and the one after PBASE monolayer growth (b). 1 Supplementary Figure

More information

Supplementary Figure S1. AFM characterizations and topographical defects of h- BN films on silica substrates. (a) (c) show the AFM height

Supplementary Figure S1. AFM characterizations and topographical defects of h- BN films on silica substrates. (a) (c) show the AFM height Supplementary Figure S1. AFM characterizations and topographical defects of h- BN films on silica substrates. (a) (c) show the AFM height topographies of h-bn film in a size of ~1.5µm 1.5µm, 30µm 30µm

More information

High Performance, Low Operating Voltage n-type Organic Field Effect Transistor Based on Inorganic-Organic Bilayer Dielectric System

High Performance, Low Operating Voltage n-type Organic Field Effect Transistor Based on Inorganic-Organic Bilayer Dielectric System Journal of Physics: Conference Series PAPER OPEN ACCESS High Performance, Low Operating Voltage n-type Organic Field Effect Transistor Based on Inorganic-Organic Bilayer Dielectric System To cite this

More information

Nanostructure Fabrication Using Selective Growth on Nanosize Patterns Drawn by a Scanning Probe Microscope

Nanostructure Fabrication Using Selective Growth on Nanosize Patterns Drawn by a Scanning Probe Microscope Nanostructure Fabrication Using Selective Growth on Nanosize Patterns Drawn by a Scanning Probe Microscope Kentaro Sasaki, Keiji Ueno and Atsushi Koma Department of Chemistry, The University of Tokyo,

More information

Electron-beam SAFIER process and its application for magnetic thin-film heads

Electron-beam SAFIER process and its application for magnetic thin-film heads Electron-beam SAFIER process and its application for magnetic thin-film heads XiaoMin Yang, a) Harold Gentile, Andrew Eckert, and Stanko R. Brankovic Seagate Research Center, 1251 Waterfront Place, Pittsburgh,

More information

Layer-modulated synthesis of uniform tungsten disulfide nanosheet using gas-phase precursors.

Layer-modulated synthesis of uniform tungsten disulfide nanosheet using gas-phase precursors. Layer-modulated synthesis of uniform tungsten disulfide nanosheet using gas-phase precursors. Jusang Park * Hyungjun Kim School of Electrical and Electronics Engineering, Yonsei University, 262 Seongsanno,

More information

EE410 vs. Advanced CMOS Structures

EE410 vs. Advanced CMOS Structures EE410 vs. Advanced CMOS Structures Prof. Krishna S Department of Electrical Engineering S 1 EE410 CMOS Structure P + poly-si N + poly-si Al/Si alloy LPCVD PSG P + P + N + N + PMOS N-substrate NMOS P-well

More information

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node Takahiro Kozawa and Seiichi Tagawa The Institute of Scientific and Industrial Research, Osaka University, 8-1

More information

Carbon Nanotubes for Interconnect Applications Franz Kreupl, Andrew P. Graham, Maik Liebau, Georg S. Duesberg, Robert Seidel, Eugen Unger

Carbon Nanotubes for Interconnect Applications Franz Kreupl, Andrew P. Graham, Maik Liebau, Georg S. Duesberg, Robert Seidel, Eugen Unger Carbon Nanotubes for Interconnect Applications Franz Kreupl, Andrew P. Graham, Maik Liebau, Georg S. Duesberg, Robert Seidel, Eugen Unger Infineon Technologies Corporate Research Munich, Germany Outline

More information

Nanoimprint Lithography

Nanoimprint Lithography Nanoimprint Lithography Wei Wu Quantum Science Research Advanced Studies HP Labs, Hewlett-Packard Email: wei.wu@hp.com Outline Background Nanoimprint lithography Thermal based UV-based Applications based

More information

The goal of this project is to enhance the power density and lowtemperature efficiency of solid oxide fuel cells (SOFC) manufactured by atomic layer

The goal of this project is to enhance the power density and lowtemperature efficiency of solid oxide fuel cells (SOFC) manufactured by atomic layer Stanford University Michael Shandalov1, Shriram Ramanathan2, Changhyun Ko2 and Paul McIntyre1 1Department of Materials Science and Engineering, Stanford University 2Division of Engineering and Applied

More information

Complex Nanostructures by Atomic Layer Deposition. Kornelius Nielsch.

Complex Nanostructures by Atomic Layer Deposition. Kornelius Nielsch. Complex Nanostructures by Atomic Layer Deposition Kornelius Nielsch Institute of Applied Physics, University of Hamburg (Germany) knielsch@physnet.uni-hamburg.de Outline History and Principle Ferromagnetic

More information

Surface atoms/molecules of a material act as an interface to its surrounding environment;

Surface atoms/molecules of a material act as an interface to its surrounding environment; 1 Chapter 1 Thesis Overview Surface atoms/molecules of a material act as an interface to its surrounding environment; their properties are often complicated by external adsorbates/species on the surface

More information

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide ARTICLE IN PRESS Journal of Physics and Chemistry of Solids 69 (2008) 555 560 www.elsevier.com/locate/jpcs Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide Jun Wu a,, Ying-Lang

More information

Equipment Innovation Team, Memory Fab. Center, Samsung Electronics Co. Ltd. San#16, Banwol, Taean, Hwansung, Kyungki, , Republic of Korea

Equipment Innovation Team, Memory Fab. Center, Samsung Electronics Co. Ltd. San#16, Banwol, Taean, Hwansung, Kyungki, , Republic of Korea Solid State Phenomena Vols. 103-104 (2005) pp 63-66 Online available since 2005/Apr/01 at www.scientific.net (2005) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.103-104.63 Development

More information

MSN551 LITHOGRAPHY II

MSN551 LITHOGRAPHY II MSN551 Introduction to Micro and Nano Fabrication LITHOGRAPHY II E-Beam, Focused Ion Beam and Soft Lithography Why need electron beam lithography? Smaller features are required By electronics industry:

More information

Characterization of Post-etch Residue Clean By Chemical Bonding Transformation Mapping

Characterization of Post-etch Residue Clean By Chemical Bonding Transformation Mapping Characterization of Post-etch Residue Clean By Chemical Bonding Transformation Mapping Muthappan Asokan, Oliver Chyan*, Interfacial Electrochemistry and Materials Research Lab, University of North Texas

More information

Electronics with 2D Crystals: Scaling extender, or harbinger of new functions?

Electronics with 2D Crystals: Scaling extender, or harbinger of new functions? Electronics with 2D Crystals: Scaling extender, or harbinger of new functions? 1 st Workshop on Data Abundant Systems Technology Stanford, April 2014 Debdeep Jena (djena@nd.edu) Electrical Engineering,

More information

A Photonic Crystal Laser from Solution Based. Organo-Lead Iodide Perovskite Thin Films

A Photonic Crystal Laser from Solution Based. Organo-Lead Iodide Perovskite Thin Films SUPPORTING INFORMATION A Photonic Crystal Laser from Solution Based Organo-Lead Iodide Perovskite Thin Films Songtao Chen 1, Kwangdong Roh 2, Joonhee Lee 1, Wee Kiang Chong 3,4, Yao Lu 5, Nripan Mathews

More information

Hotwire-assisted Atomic Layer Deposition of Pure Metals and Metal Nitrides

Hotwire-assisted Atomic Layer Deposition of Pure Metals and Metal Nitrides Hotwire-assisted Atomic Layer Deposition of Pure Metals and Metal Nitrides Alexey Kovalgin MESA+ Institute for Nanotechnology Semiconductor Components group a.y.kovalgin@utwente.nl 1 Motivation 1. Materials

More information

Initial Stages of Growth of Organic Semiconductors on Graphene

Initial Stages of Growth of Organic Semiconductors on Graphene Initial Stages of Growth of Organic Semiconductors on Graphene Presented by: Manisha Chhikara Supervisor: Prof. Dr. Gvido Bratina University of Nova Gorica Outline Introduction to Graphene Fabrication

More information

Plasma atomic layer etching using conventional plasma equipment

Plasma atomic layer etching using conventional plasma equipment Plasma atomic layer etching using conventional plasma equipment Ankur Agarwal a Department of Chemical and Biomolecular Engineering, University of Illinois, 600 S. Mathews Ave., Urbana, Illinois 61801

More information

Magnetic Data Storage with Patterned Media

Magnetic Data Storage with Patterned Media f r e e d o m t o i n n o v a t e f r e e d o m t o i n n o v a t e Magnetic Data Storage with Patterned Media Neil Robertson Hitachi Global Storage Technologies San Jose Research Center Sept 08 1 Technology

More information

Déposition séléctive le rêve reviens

Déposition séléctive le rêve reviens Willkommen Welcome Bienvenue Déposition séléctive le rêve reviens Patrik Hoffmann Michael Reinke, Yury Kuzminykh Ivo Utke, Carlos Guerra-Nunez, Ali Dabirian, Xavier Multone, Tristan Bret, Estelle Halary-Wagner,

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 EE143 Fall 2016 Microfabrication Technologies Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1 Vacuum Basics Units 1 atmosphere

More information

JOHN G. EKERDT RESEARCH FOCUS

JOHN G. EKERDT RESEARCH FOCUS JOHN G. EKERDT RESEARCH FOCUS We study the surface, growth and materials chemistry of ultrathin metal and dielectric films. Our work seeks to: 1) develop and understand the reactions and chemistry that

More information

A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars

A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars Nanoscale Res Lett (2008) 3: 127 DOI 10.1007/s11671-008-9124-6 NANO EXPRESS A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars Wei Wu Æ Dibyendu

More information

Supplementary Figure 1: A potential scheme to electrically gate the graphene-based metamaterial. Here density. The voltage equals, where is the DC

Supplementary Figure 1: A potential scheme to electrically gate the graphene-based metamaterial. Here density. The voltage equals, where is the DC Supplementary Figure 1: A potential scheme to electrically gate the graphene-based metamaterial. Here density. The voltage equals, where is the DC permittivity of the dielectric. is the surface charge

More information

Supplementary Figure S1. AFM images of GraNRs grown with standard growth process. Each of these pictures show GraNRs prepared independently,

Supplementary Figure S1. AFM images of GraNRs grown with standard growth process. Each of these pictures show GraNRs prepared independently, Supplementary Figure S1. AFM images of GraNRs grown with standard growth process. Each of these pictures show GraNRs prepared independently, suggesting that the results is reproducible. Supplementary Figure

More information

nmos IC Design Report Module: EEE 112

nmos IC Design Report Module: EEE 112 nmos IC Design Report Author: 1302509 Zhao Ruimin Module: EEE 112 Lecturer: Date: Dr.Zhao Ce Zhou June/5/2015 Abstract This lab intended to train the experimental skills of the layout designing of the

More information

Lecture 8. Photoresists and Non-optical Lithography

Lecture 8. Photoresists and Non-optical Lithography Lecture 8 Photoresists and Non-optical Lithography Reading: Chapters 8 and 9 and notes derived from a HIGHLY recommended book by Chris Mack, Fundamental Principles of Optical Lithography. Any serious student

More information

Step Coverage by ALD Films: Theory and Examples

Step Coverage by ALD Films: Theory and Examples Step Coverage by ALD Films: Theory and Examples of Ideal and Non-Ideal Reactions Roy G. Gordon Cambridge, MA 1 L Step Coverage in Holes with High Aspect Ratio Step coverage = (b/a) x 100% a Aspect ratio

More information

Transmission Electron Microscopy for metrology and characterization of semiconductor devices

Transmission Electron Microscopy for metrology and characterization of semiconductor devices Transmission Electron Microscopy for metrology and characterization of semiconductor devices Bert Freitag, Laurens Kwakman, Ivan Lazic and Frank de Jong FEI / ThermoFisher Scientific, Achtseweg Noord 5,

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION Facile Synthesis of High Quality Graphene Nanoribbons Liying Jiao, Xinran Wang, Georgi Diankov, Hailiang Wang & Hongjie Dai* Supplementary Information 1. Photograph of graphene

More information

Regents of the University of California

Regents of the University of California Deep Reactive-Ion Etching (DRIE) DRIE Issues: Etch Rate Variance The Bosch process: Inductively-coupled plasma Etch Rate: 1.5-4 μm/min Two main cycles in the etch: Etch cycle (5-15 s): SF 6 (SF x+ ) etches

More information

PROGRESS AND ISSUES IN DIELECTRIC MATERIALS FOR SUB-100NM DRAM TECHNOLOGY ABSTRACT

PROGRESS AND ISSUES IN DIELECTRIC MATERIALS FOR SUB-100NM DRAM TECHNOLOGY ABSTRACT PROGRESS AND ISSUES IN DIELECTRIC MATERIALS FOR SUB-100NM DRAM TECHNOLOGY Kanta Saino Device Integration Group, Technology & Development Office, Elpida Memory Inc. 7-10 Yoshikawakogyodanchi, Higashihiroshima,

More information

Evolution of Optical Lithography towards 22nm and beyond Donis Flagello

Evolution of Optical Lithography towards 22nm and beyond Donis Flagello Evolution of Optical Lithography towards 22nm and beyond Donis Flagello Slide 1 ArF water immersion lithography cannot image 32 nm HP in SE 200 Resolution, Shrink (nm) 100 80 60 40 30 AT:1200 Logic NAND

More information

Supporting Information. Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition

Supporting Information. Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition 1 Supporting Information Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition Jaechul Ryu, 1,2, Youngsoo Kim, 4, Dongkwan Won, 1 Nayoung Kim, 1 Jin Sung Park, 1 Eun-Kyu

More information

Characterization of Charge Trapping and Dielectric Breakdown of HfAlOx/SiON Dielectric Gate Stack

Characterization of Charge Trapping and Dielectric Breakdown of HfAlOx/SiON Dielectric Gate Stack Characterization of Charge Trapping and Dielectric Breakdown of HfAlOx/SiON Dielectric Gate Stack Y. Pei, S. Nagamachi, H. Murakami, S. Higashi, S. Miyazaki, T. Kawahara and K. Torii Graduate School of

More information

Wet and Dry Etching. Theory

Wet and Dry Etching. Theory Wet and Dry Etching Theory 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer

More information

Molecular Electronics For Fun and Profit(?)

Molecular Electronics For Fun and Profit(?) Molecular Electronics For Fun and Profit(?) Prof. Geoffrey Hutchison Department of Chemistry University of Pittsburgh geoffh@pitt.edu July 22, 2009 http://hutchison.chem.pitt.edu Moore s Law: Transistor

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

More on Stochastics and the Phenomenon of Line-Edge Roughness

More on Stochastics and the Phenomenon of Line-Edge Roughness More on Stochastics and the Phenomenon of Line-Edge Roughness Chris A. Mack 34 th International Photopolymer Science and Technology Conference Chiba, Japan, June 28, Conclusions We need more than just

More information

Chemical Vapor Deposition (CVD)

Chemical Vapor Deposition (CVD) Chemical Vapor Deposition (CVD) source chemical reaction film substrate More conformal deposition vs. PVD t Shown here is 100% conformal deposition ( higher temp has higher surface diffusion) t step 1

More information

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations Chapter 2 Process Variability Overview Parameter variability has always been an issue in integrated circuits. However, comparing with the size of devices, it is relatively increasing with technology evolution,

More information

Modeling Random Variability of 16nm Bulk FinFETs

Modeling Random Variability of 16nm Bulk FinFETs Modeling Random Variability of 16nm Bulk FinFETs Victor Moroz, Qiang Lu, and Munkang Choi September 9, 2010 1 Outline 2 Outline 3 16nm Bulk FinFETs for 16nm Node Simulation domain 24nm fin pitch 56nm gate

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

EE143 LAB. Professor N Cheung, U.C. Berkeley

EE143 LAB. Professor N Cheung, U.C. Berkeley EE143 LAB 1 1 EE143 Equipment in Cory 218 2 Guidelines for Process Integration * A sequence of Additive and Subtractive steps with lateral patterning Processing Steps Si wafer Watch out for materials compatibility

More information

Frequency dispersion effect and parameters. extraction method for novel HfO 2 as gate dielectric

Frequency dispersion effect and parameters. extraction method for novel HfO 2 as gate dielectric 048 SCIENCE CHINA Information Sciences April 2010 Vol. 53 No. 4: 878 884 doi: 10.1007/s11432-010-0079-8 Frequency dispersion effect and parameters extraction method for novel HfO 2 as gate dielectric LIU

More information

Multiple Gate CMOS and Beyond

Multiple Gate CMOS and Beyond Multiple CMOS and Beyond Dept. of EECS, KAIST Yang-Kyu Choi Outline 1. Ultimate Scaling of MOSFETs - 3nm Nanowire FET - 8nm Non-Volatile Memory Device 2. Multiple Functions of MOSFETs 3. Summary 2 CMOS

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 5 MICRO AND NANOPROCESSING TECHNOLOGIES Introduction Ion lithography X-ray lithography Soft lithography E-beam lithography Concepts and processes Lithography systems Masks and resists Chapt.9.

More information

Supporting information

Supporting information Supporting information Design, Modeling and Fabrication of CVD Grown MoS 2 Circuits with E-Mode FETs for Large-Area Electronics Lili Yu 1*, Dina El-Damak 1*, Ujwal Radhakrishna 1, Xi Ling 1, Ahmad Zubair

More information