Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML

Size: px
Start display at page:

Download "Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML"

Transcription

1 Double patterning for 32nm and below: an update Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML Mireille Maenhoudt, Shaunee Cheng, Tom Vandeweyer IMEC / Slide 1 <file name> <version 00> <author.> Outline Introduction Problem description and challenges Experimental Progress in overlay to enable Litho-Etch-Litho-Etch Process control in Litho-Etch-Litho-Etch and Spacer Outlook towards 22nm node Conclusions / Slide 2 1

2 Introduction Litho options with potential to be adopted at <3x node for memory designs that requires 1:1 hp resolution: Spacer (self-aligned); LELE (Dual Litho-Etch) LFL (Litho Freeze Litho) EUV (single exposure) Double patterning options, Spacer and LELE, could be positive process flow negative process flow Requirements / Slide 3 CDU 3σ for 32nm L/S Target CDU Flash Target CDU Other (DRAM. Logic) CDU line 3.2nm 3.2nm CDU space 3.2nm 4.0nm Double-patterning LELE: Line-CD distribution Target CD and CDU is critical for multiple line populations Count Bi-modal CD distribution occurs when CD differs in the two exposures impact on total CDU of lines Line CD [nm] population 1 population 2 / Slide 4 2

3 Double-patterning: Space distribution Overlay is critical in CDU for multiple space populations Count Space CD [nm] / Slide 5 Experimental (schematically) Resist Barc HM Poly SiO2 HM Poly SiO2 Positive litho DPT 1:1 L/S 1 mask 1 st litho: Expose 2 to~ 1:2 1 st etch + Trim to 1:3 3 Positive Spacer DPT Resist Barc Sacrificial (APF) Poly Electric layer Sacrificial (APF) Poly Electric layer Spacer deposition Spacer after Sacrificial removal Final Poly SiO 2 / Slide 6 3

4 Experimental: context information crucial for process control Exposure Multiple XT:1900i (ASML VHV) XT:1700i (IMEC) 32nm L/S: annular 1.2 NA XY-pol 0.8/0.5 22nm L/S: 1.35NA dipole-x 35 deg Y-pol 0.92/0.72 Reticle 6% Attenuated PSM 64nm L/S Track Etch Metrology Process Control multiple tracks; interfaced to XT:1900 and XT:1700 VHV: LELE IMEC: LELE and Spacer CD-SEM angle resolved scatterometry DoseMapper GridMapper 44nm L/S Intrafield and Interfield dose and grid corrections / Slide 7 Outline Introduction Problem description and challenges Experimental Progress in overlay to enable Litho-Etch-Litho-Etch Process control in Litho-Etch-Litho-Etch and Spacer Outlook towards 22nm node Conclusions / Slide 8 4

5 Evolution of DPT Overlay at 32nm resolution Measured with CD-SEM P1 R P1 L P1 L + P1 DPT _ OL = P P P R 1 1 = 2 DPT Overlay [nm] XT:1900i Mean+3σ: x=2.9nm, y=3.0nm Keystone 2007 DPT Overlay (Mean+3σ): X: 4.6 nm Y: 4.3 nm XT:1700i No corrections / filtering applied 2.5 weeks between exposures DPT overlay well controlled <3nm / Slide 9 Evolution of DPT Overlay at 32nm resolution: cont multiple XT:1900 s, multiple wafers Raw Data XT:1900i #1, XT:1700i XT:1900i, #2 CDSEM 2579 meas XT:1900i, 4 wafers CDSEM 332 meas CDSEM 2579 meas Multiple XT:1900 s with similar performance, stable over multiple wafers Same wafer, different sampling Dense sampling to capture inter- and intrafield fingerpint Further improvements: see Jos de Klerk et al., paper M+3σ, x M+3σ, y / Slide 10 5

6 DPT overlay reticle contribution die fingerprint, same reticle on 2 different XT:1900 s CD-SEM DPT overlay XT:1900 #1 99.7%: x=2.5nm y=3.0nm 99.7% x=1.4nm y=1.2nm Modeled die fingerprint Reticle Contribution 1.5nm CD-SEM DPT overlay XT:1900 #2 99.7%: x=3.3nm Reticle overlay fingerprint reproduces y=3.6nm over two machines and may be corrected for 99.7% x=1.1nm 11 y=1.7nm / Slide 11 XT:1700i Overlay: Short loop vs. Full flow DPT Process Resist only (Zero layer) Gate stack only (Zero layer) Full flow (Align to STI) 3σ (nm.) Metrology X Y Dedicated Chuck Overlay DPT overlay DPT overlay DPT1 DPT2 DPT1 DPT2 Photo Resist (120nm) BARC (65nm litho 1/85nm litho2) Oxide HM (30nm) Poly (60nm) Gate oxide (2nm) Si substrate DPT intra-layer overlay is the same on short loop and full flow See David Laidler et al. paper / Slide 12 6

7 Outline Introduction Problem description and challenges Experimental Progress in overlay to enable Litho-Etch-Litho-Etch Process control in Litho-Etch-Litho-Etch and Spacer Outlook towards 22nm node Conclusions / Slide 13 Process control in Litho-Etch-Litho-Etch and Spacer Error component Pattern polarity Positive Tone Spacer Positive tone LELE Lines Spaces Lines Spaces Dose Focus Track and etch process Spacer deposition (+ multiple etch steps) Mask CDU (1x) (assumes MEEF of 1.4) Mask registration and overlay (1x) Scanner overlay CDU - lines (nm) CDU - spaces (nm) Typical Contributions Main Contributors To CDU lines Overlay& Mask. reg Contributions in CDU spaces Jo Finders, Mircea Dusa, Stephen Hsu, MLW 2008, to be published / Slide 14 7

8 DPT CDU: reticle contribution Measured reticle data at 1x level for three reticles MEEF = 1.5 ± 0.2 nm SPIE 2008 (LELE) 3σ =09nm 0.9 Bacus 2007 EMLC 2008 SPIE 2007 Keystone 2007 SPIE 2008 (Spacer) 3σ = 1.9 nm Reticle contribution 3σ = 0.6 to nmcdu is nm With DoseMapper: With DoseMapper: With DoseMapper: 3σ = 0.4 nm Can be corrected 3σ = 0.5 nm by DoseMapper 3σ = 0.7 nm / Slide 15 DPT CDU: track contribution (evaluated after litho 1) Track #1 Track #2 XT:1900i CDSEM Raw CD data Fitted Interfield CD fingerprint Track fingerprints are different and can be corrected with DoseMapper From raw CD data (contains track and reticle) Track #1: CDU 2.9 nm -> 1.1 nm with DoseMapper Track #2: CDU 3.8 nm -> 1.4 nm with DoseMapper / Slide 16 8

9 DPT CDU: Etch contribution after hardmask etch, measured with CD-SEM Etcher 1 Etcher 2 XT:1900i CDSEM Raw CD data / Slide 17 Fitted Interfield CD fingerprint Etch fingerprints are different and can be corrected by DoseMapper From raw CD data (contains reticle, track, etch) Etcher #1: CDU 4.0 nm -> 1.8 nm with DoseMapper Etcher #2: CDU 3.3 nm -> 2.0 nm with DoseMapper DoseMapper is required to achieve target CDU of 3.2nm 3σ Final result LELE (raw experimental data) Line1: Mean=36.1 3σ=4.6nm Line2: Mean=38.8 3s=5.5nm Space1 Mean=26.8 3s=5.7nm (XT:1900i; CD SEM, 2579 measurements) Correction of mean CD and CDU is needed L1 L2 Space2 Mean= s=5.6nm S1 S2 / Slide 18 9

10 LELE Final result (raw experimental data) Line1 Line2 Space1 Space2 3σ=4.6nm 3σ=5.5nm 3σ=5.7 nm 3σ= 5.6 nm LELE Final wafer result applying DoseMapper (CD SEM, 2579 measurements) 3σ=2.1nm 3σ=2.3nm 3σ= 3.7nm 3σ=3.8nm / Slide 19 Final wafer result applying DoseMapper Line1: Mean=34.9 3σ=2.2nm Line 2 Mean=34.6 3σ=2.3nm Space 1 Mean= σ=3.7nm Space 2 Mean=28.5 3σ=3.8nm / Slide 20 Lines: CDU < Target CDU Spaces: CDU< Target CDU for DRAM, logic 10

11 10 nm 10 nm Litho patterning process control for CD and Overlay of 32nm, using angle resolved scatterometry raw etched poly CDU mean CD overlay between litho 1 and 2 < 4.9 nm < 7.0 nm < nm 99.7% OVL X = 4.0 nm 99.7% OVL Y = 4.2 nm Line1 Line2 DoseMapper recipe DoseMapper recipe Optimum GridMapper recipe < 2.8 nm < 3.8 nm < 0.8 nm 99.7% OVL X = 3.2 nm 99.7% OVL Y = 3.4 nm DoseMapper corrected etched / Slide poly 21 CDU mean CD Process control in Litho-Etch-Litho-Etch and Spacer Error component Pattern polarity Positive Tone Spacer Positive tone LELE Lines Spaces Lines Spaces Dose Focus Track and etch process Spacer deposition (+ multiple etch steps) Mask CDU (1x) (assumes MEEF of 1.4) Mask registration and overlay (1x) Scanner overlay CDU - lines (nm) CDU - spaces (nm) Typical Contributions Jo Finders, Mircea Dusa, Stephen Hsu, MLW 2008, to be published / Slide 22 11

12 32nm Spacer Final result (experimental data: CD-SEM) Line1 Mean=32.7 3σ=2.1nm Line2 Mean=32.7 3σ=2.0nm Space1 Mean= σ=2.1nm Space2 Mean=32.8 3σ=4.1nm CD L1 L2 S1 S2 Most critical: space control XT1700i;CD-SEM Distance From center / Slide 23 Spacer DPT control through litho dose variation Space/line [nm] Litho CD (nm) APF CD (nm) Line1 (nm) Line2 (nm) Space1 (nm) Space2 (nm) L1 L2 L1 S1 S mJ mJ 35 Dose (mj) / Slide 24 12

13 32nm Spacer Final result (experimental data: CD-SEM) Line1 Mean=32.7 3σ=2.1nm Line2 Mean=32.7 3σ=2.0nm Space1 Mean= σ=2.1nm Space2 Mean=32.8 3σ=4.1nm Expected performance after applying dosemapper (calculated) Line1 Mean=32.7 3σ=2.1nm Line2 Mean=32.7 3σ=2.0nm Space 1 Mean= σ=2.3nm Space 2 Mean= σ=2.8nm / Slide 25 Expected performance after applying DoseMapper (calculated) Line1 Mean=32.7 3σ=2.1nm Line2 Mean=32.7 3σ=2.0nm Space 1 Mean= σ=2.3nm Space 2 Mean= σ=2.8nm / Slide 26 13

14 Outline Introduction Problem description and challenges Experimental Progress in overlay to enable Litho-Etch-Litho-Etch Process control in Litho-Etch-Litho-Etch and Spacer Outlook towards 22nm node Conclusions / Slide 27 Optical potential for 22nm L/S topdown SEM STEM Example: LELE LITHO 32nm L/S 22nm L/S k k 1 final Exposure Dose sensitivity nm/% dose Annular XY polarized 1.2 NA Dipole Y polarized 1.35 NA Same dose sensitivity obtained for 22nm L/S by going to more aggressive Illuminator setting / Slide 28 14

15 Conclusion Experimental investigation of Spacer DPT and Litho DPT suggests Process corrections are required to achieve target CDUs For LELE DPT we were able to improve overlay on resolution to 3nm for single hard mask stack. Using DoseMapper fingerprints from Reticle, Track and Etch can be reduced. Process control for LELE and Spacer by DoseMapper and GridMapper LELE: overlap and CDU of the two line and the two space populations Spacer: overlap and balanced performance for the two space populations CDU 3σ for Requirement LELE LELE +Litho Spacer Spacer+ Litho 32nm L/S Flash Process Control Process Control CDU Line 1 3.2nm 4.6nm 2.1nm 2.1nm 2.1nm CDU Line 2 3.2nm 5.5nm 2.3nm 2.1nm 2.1nm CDU Space 1 3.2nm 5.7nm 3.7nm 2.1nm 2.3nm CDU Space 2 3.2nm 5.6nm 3.8nm 4.1nm 2.8nm 32nm seems feasible for both LELE and Spacer / Slide 29 Acknowledgement ASML DPT TEAM; David Deckers, Ad Lammers, Dorothe Oorschot, Bart Rijpers, Paul de Haas, Christian Leewis, Martyn Coogans, Eddy van der Heijden, John Quaedackers, Jeroen Meessen, Toine de Kort, Joris Kuin, Robert Routh IMEC DPT TEAM, Patrick Jaenen, Diziana Vangoidsenhoven / Slide 30 15

16 Process control in Litho-Etch-Litho-Etch Error component Pattern polarity Positive tone LELE expected Dose Focus Positive tone LELE experimental Positive tone LELE +Litho Process Control Lines Spaces Lines Spaces Track and etch process Spacer deposition (+ multiple etch steps) - - Mask CDU (1x) (assumes MEEF of 1.4) Mask registration and overlay (1x) Scanner overlay CDU - lines (nm) 3.1 CDU - spaces (nm) 3.9 CDU -lines exp CDU- spaces exp / Slide 31 DoseMapper application Needed input for DoseMapper: Measured CD data on final product for population 1 and 2 LEDR (Lot Exposure Dose Report) from scanner of litho exposures for population 1 and 2 Dose sensitivity for population 1 and 2 from etched FEM wafer CD on final product pop 1 Lot Exposure Dose Report Litho pop 1 Dose Mapper DoMa subrecipe to correct profile pop 1 FEM: CD pop 1 as function dose Dose sensitivity Population 1 / Slide 32 16

17 DoseMapper application results Measured CD data Calculated DoMa result Achieved DoMa result line 1 3 σ = 4.5 nm 3 σ = 1.6 nm 3 σ = 2.4 nm line 2 3 σ = 4.7 nm 3 σ = 1.7 nm 3 σ = 2.2 nm / Slide 33 32nm L/S LELE Final result (raw experimental data) etch process #2 XT:1900 #3 3σ=6.3nm 3σ=4.8nm LELE Final result applying dosemapper 3σ=3.6nm 3σ=2.5nm 3σ=5.3nm 3σ=5.4nm / Slide 34 17

18 Process control in Spacer: Litho + sacrificial etch Track + Reticle (evaluated after first litho) Etch (evaluated after sacrificial etch) XT1700i, CD-SEM / Slide 35 Measurements and definitions PL L2 S2 L1 S1 L2 PR OVL = 64 05*(PL+PR) 0.5 S2 = PL L2 = PR L1 S1 = 128 L2 L1 S2 / Slide 36 18

19 Intrafield DPT overlay as observed by different techniques Image based SEM Angle Resolved Scatterometry XT1900i LELE / Slide 37 32nm Spacer Final result (experimental data by CD-SEM) Line1 Mean=33.6 3σ=2.2nm Line2 Mean=33.4 3σ=2.3nm Space1 Mean= σ=3.8nm Space2 Mean=28.3 3σ=2.6nm L1 L2 S1 S2 CD-SEM / Slide 38 19

20 32nm Spacer Final result (experimental data by CD-SEM) Line1 Mean=33.6 3σ=2.2nm Line2 Mean=33.4 3σ=2.3nm Space1 Mean= σ=3.8nm Space2 Mean=28.3 3σ=2.6 Expected performance after applying dosemapper (calculated) Line1 Mean=33.6 3σ=2.2nm Line2 Mean=33.4 3σ=2.3nm Space 1 Mean= σ=2.9nm Space 2 Mean= σ=2.9nm / Slide 39 Expected performance after applying dosemapper (calculated) Line1 Mean=33.6 3σ=2.2nm Line2 Mean=33.4 3σ=2.3nm Space 1 Mean= σ=2.9nm Space 2 Mean= σ=2.9nm / Slide 40 20

Evolution of Optical Lithography towards 22nm and beyond Donis Flagello

Evolution of Optical Lithography towards 22nm and beyond Donis Flagello Evolution of Optical Lithography towards 22nm and beyond Donis Flagello Slide 1 ArF water immersion lithography cannot image 32 nm HP in SE 200 Resolution, Shrink (nm) 100 80 60 40 30 AT:1200 Logic NAND

More information

Double patterning for 32nm and below: an update. ASML US Inc, 4211 Burton Dr. Santa Clara, CA, USA. IMEC vzw, Kapeldreef 75, B-3001 Heverlee, Belgium;

Double patterning for 32nm and below: an update. ASML US Inc, 4211 Burton Dr. Santa Clara, CA, USA. IMEC vzw, Kapeldreef 75, B-3001 Heverlee, Belgium; Double patterning for 32nm and below: an update Jo Finders (a), Mircea Dusa (b) Bert Vleeming (a) Henry Megens (a) Birgitt Hepp (a) Mireille Maenhoudt (c), Shaunee Cheng (c), Tom Vandeweyer (c) (a) ASML,

More information

Towards 3nm overlay and critical dimension uniformity: an integrated error budget for double patterning lithography

Towards 3nm overlay and critical dimension uniformity: an integrated error budget for double patterning lithography Towards 3nm overlay and critical dimension uniformity: an integrated error budget for double patterning lithography Bill Arnold SPIE Advanced Lithography Optical SPIE 69-3 / Slide Outline Double patterning

More information

Litho scenario solutions for FinFET SRAM 22nm node

Litho scenario solutions for FinFET SRAM 22nm node See discussions, stats, and author profiles for this publication at: https://www.researchgate.net/publication/51695 Litho scenario solutions for FinFET SRAM nm node ARTICLE in PROCEEDINGS OF SPIE - THE

More information

Important challenge for the extension of Spacer DP process

Important challenge for the extension of Spacer DP process Important challenge for the extension of Spacer DP process H. Yaegashi Tokyo Electron Limited Leading-edge Process development center Kobe, JAPAN 21 October 2010 1 Outline Background Lithographic scaling

More information

EUV Lithography Towards Industrialization

EUV Lithography Towards Industrialization EUV Lithography Towards Industrialization Wim van der Zande, Director of Research, ASML Dublin Meeting November 2014 Slide 2 Agenda EUV benefit and status at customers Towards higher productivity Summary

More information

Chromeless Phase Lithography (CPL)

Chromeless Phase Lithography (CPL) Chromeless Phase Lithography (CPL) Chromeless Phase Lithography or CPL is a recent development in the area of phase shifting technology that is extending the perceived k 1 limits and has the potential

More information

Resist material for negative tone development process

Resist material for negative tone development process Resist material for negative tone development process FUJIFILM Corporation Electronic Materials Research Laboratories P-1 Outline 1. Advantages of negative tone imaging for DP 2. Process maturity of negative

More information

Mask Characterization for Double Patterning Lithography

Mask Characterization for Double Patterning Lithography Mask Characterization for Double Patterning Lithography Karsten Bubke 1, Eric Cotte 1, Jan Hendrik Peters 1, Robert de Kruif, Mircea Dusa 3, Joerg Fochler 4, Brid Connolly 4 1 Advanced Mask Technology

More information

EUV lithography industrialization for HVM

EUV lithography industrialization for HVM EUV lithography industrialization for HVM Michael Lercel Director, Strategic Marketing, Tokyo Outline Slide 2 NXE Roadmap NXE:3400B performance Reticle front-side defectivity EUV source roadmap EUV extendibility

More information

Figure 1 below shows the generic process flow of an LELE method of double patterning.

Figure 1 below shows the generic process flow of an LELE method of double patterning. Multilayer Double Patterning MCEE 505/605 LM&P Rajiv Sejpal (585) 622-8081 rns4256@rit.edu Dept. of Microelectronics Engineering, Rochester Institute of Technology GOAL Due to the delay in next generation

More information

Cost of Ownership Considerations for Maskless Lithography

Cost of Ownership Considerations for Maskless Lithography Accelerating the next technology revolution Cost of Ownership Considerations for Maskless Lithography Lloyd C. Litt, SEMATECH Andrea F. Wüest, SEMATECH Copyright 2008 SEMATECH, Inc. SEMATECH, and the SEMATECH

More information

Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry

Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry 1 Kin Wai Tang, 1 Teng Hwee Ng, 1 Lei Huang, 1 Susan Ng, 1 Thomas Ku, 2 Wee Teck Chia, 2 Lin

More information

Intra-wafer CDU characterization to determine process and focus contributions based on Scatterometry Metrology

Intra-wafer CDU characterization to determine process and focus contributions based on Scatterometry Metrology Intra-wafer CDU characterization to determine process and focus contributions based on Scatterometry Metrology Mircea Dusa a, Richard Moerman b, Bhanwar Singh c, Paul Friedberg c, Ray Hoobler d, Terrence

More information

ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM

ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM Jan van Schoot, Bert Koek, Chris de Mol, Peter van Oorschot. ASML Veldhoven, The Netherlands This paper was first presented at the Semicon/

More information

Impact of Pellicle on Overlay in Double Patterning Lithography

Impact of Pellicle on Overlay in Double Patterning Lithography Impact of Pellicle on Overlay in Double Patterning Lithography Oliver Loeffler 1, Frank Laske 2, Michael Ferber 2, Klaus-Dieter Roeth 2, Lin Chua 3, You Seung Jin 3, Gino Marcuccilli 3, Venkat Nagaswami

More information

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH High NA the Extension Path of EUV Lithography Dr. Tilmann Heil, Carl Zeiss SMT GmbH Introduction This talk is about resolution. Resolution λ = k 1 NA High-NA NA 0.33 0.4 0.5 0.6 Resolution @ k1=0.3 single

More information

Critical Dimension Uniformity using Reticle Inspection Tool

Critical Dimension Uniformity using Reticle Inspection Tool Critical Dimension Uniformity using Reticle Inspection Tool b Mark Wylie, b Trent Hutchinson, b Gang Pan, b Thomas Vavul, b John Miller, b Aditya Dayal, b Carl Hess a Mike Green, a Shad Hedges, a Dan Chalom,

More information

EUVL Readiness for High Volume Manufacturing

EUVL Readiness for High Volume Manufacturing EUVL Readiness for High Volume Manufacturing Britt Turkot Intel Corporation Outline Exposure Tool Progress Power Availability Intel demo results Reticle Defectivity Pellicle Materials Conclusion 2 Source

More information

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance 1 Seminar Spacer Lithography for Reduced Variability in MOSFET Performance Prof. Tsu-Jae King Liu Electrical Engineering & Computer Sciences Dept. University of California at Berkeley Graduate Student:

More information

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Uzodinma Okoroanyanwu, 1 Aiqin Jiang, 2 Kornelia Dittmar, 3 Torsten Fahr, 3 Thomas Laursen, 2 Obert Wood, 1 Kevin Cummings, 2 Christian

More information

Cost Implications of EUV Lithography Technology Decisions

Cost Implications of EUV Lithography Technology Decisions Accelerating the next technology revolution Cost Implications of EUV Lithography Technology Decisions Andrea F. Wüest, SEMATECH Andrew J. Hazelton, Nikon Corporation Greg Hughes, SEMATECH Lloyd C. Litt,

More information

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing Britt Turkot Intel Corporation Outline Milestone Progress Exposure Tool Reticle Pellicle Infrastructure HVM Considerations

More information

Across-wafer CD Uniformity Enhancement through Control of Multi-zone PEB Profiles

Across-wafer CD Uniformity Enhancement through Control of Multi-zone PEB Profiles Across-wafer CD Uniformity Enhancement through Control of Multi-zone PEB Profiles Qiaolin Zhang *a, Paul Friedberg b, Cherry Tang c Bhanwar Singh c, Kameshwar Poolla a, Costas J. Spanos b a Dept of Mechanical

More information

Registration Measurement Capability of VISTEC LMS IPRO4 with Focus on Small Features

Registration Measurement Capability of VISTEC LMS IPRO4 with Focus on Small Features Registration Measurement Capability of VISTEC LMS IPRO4 with Focus on Small Features Christian Enkrich a, Gunter Antesberger a, Oliver Loeffler a, Klaus-Dieter Roeth b,franklaske b, Karl-Heinrich Schmidt

More information

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond Christof Krautschik Technology & Manufacturing Group External Programs Intel, Santa Clara, CA October

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Sensors and Metrology. Outline

Sensors and Metrology. Outline Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device Hyung-Seop Kim, Yong Min Cho, Byoung-Ho Lee Semiconductor R&D Center, Device Solution Business, Samsung

More information

Tilted ion implantation as a cost-efficient sublithographic

Tilted ion implantation as a cost-efficient sublithographic Tilted ion implantation as a cost-efficient sublithographic patterning technique Sang Wan Kim 1,a), Peng Zheng 1, Kimihiko Kato 1, Leonard Rubin 2, Tsu-Jae King Liu 1 1 Department of Electrical Engineering

More information

Hyper-NA imaging of 45nm node random CH layouts using inverse lithography

Hyper-NA imaging of 45nm node random CH layouts using inverse lithography Hyper-NA imaging of 45nm node random CH layouts using inverse lithography E. Hendrickx* a, A. Tritchkov b, K. Sakajiri b, Y. Granik b, M. Kempsell c, G. Vandenberghe a a IMEC, Kapeldreef 75, B-3001, Leuven,

More information

Nanoimprint Lithography

Nanoimprint Lithography Nanoimprint Lithography Wei Wu Quantum Science Research Advanced Studies HP Labs, Hewlett-Packard Email: wei.wu@hp.com Outline Background Nanoimprint lithography Thermal based UV-based Applications based

More information

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 ASML NXE Pellicle progress update Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 Contents Slide 2 Introduction: a look back at 2015 NXE Pellicle update Pellicle film development NXE Scanner

More information

193 nm STEP AND SCAN LITHOGRAPHY

193 nm STEP AND SCAN LITHOGRAPHY 193 nm STEP AND SCAN LITHOGRAPHY Guy Davies, Judon Stoeldraijer, Barbra Heskamp, Jan Mulkens, Joost Sytsma, Hans Bakker ASML BV, De Run 111, 553 LA Veldhoven, The Netherlands Holger Glatzel, Christian

More information

Registration Error Terms: Grid: Wafer Terms and Field IFD

Registration Error Terms: Grid: Wafer Terms and Field IFD Registration Error Terms: Grid: Wafer Terms and Field IFD Grid Rotat ion Grid Skew System Skew Field Skew Field Rotation Grid Y Mag Grid X Mag Field IsoMag Field Y (optical) Mag Field X (scan) Mag Grid

More information

IEUVI Mask Technical Working Group

IEUVI Mask Technical Working Group IEUVI Mask Technical Working Group Proposed changes P37/P38/P40 SEMI standards Thursday, Feb 28, 2008 / Slide 1 Introduction All of the recommendations are driven by the

More information

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning SEMI Texas Spring Forum May 21, 2013 Austin, Texas Author / Company / Division / Rev. / Date A smartphone today has

More information

Optical Proximity Correction

Optical Proximity Correction Optical Proximity Correction Mask Wafer *Auxiliary features added on mask 1 Overlay Errors + + alignment mask wafer + + photomask plate Alignment marks from previous masking level 2 (1) Thermal run-in/run-out

More information

Accurate and Reliable Optical CD of MuGFET down to 10nm

Accurate and Reliable Optical CD of MuGFET down to 10nm Accurate and Reliable Optical D of MuGFET down to 1nm P Leray 1, G F Lorusso 1, heng 1, N ollaert 1, M Jurczak 1, hirke 2 1 IME, Kapeldreef 75, B31, Leuven, Belgium 2 VLI tandard, 387 N 1st treet an Jose

More information

Selective Processes: Challenges and Opportunities in Semiconductor Scaling

Selective Processes: Challenges and Opportunities in Semiconductor Scaling Selective Processes: Challenges and Opportunities in Semiconductor Scaling June 4, 2018 Kandabara Tapily TEL Technology Center, America, LLC IITC 2018 Selective Deposition Workshop K. Tapily/ IITC 2018

More information

Bossung Curves; an old technique with a new twist for sub-90 nm nodes Terrence E. Zavecz TEA Systems

Bossung Curves; an old technique with a new twist for sub-90 nm nodes Terrence E. Zavecz TEA Systems Phone: (+01) 610 682 4146 Email: Info@TEAsystems.com http://www.teasystems.com TEA Systems Corporation 65 Schlossburg St. Alburtis, PA 18011 USA Bossung Curves; an old technique with a new twist for sub-90

More information

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 2th Annual BACUS Symposium on Photomask Technology, SPIE Vol. 67- and is made available

More information

Interactions of 3D mask effects and NA in EUV lithography

Interactions of 3D mask effects and NA in EUV lithography Second Place, Best Paper Award Interactions of 3D mask effects and NA in EUV lithography Jens Timo Neumann* a, Paul Gräupner a, Winfried Kaiser a, Reiner Garreis a, Bernd Geh b a Carl Zeiss SMT GmbH, Rudolf-Eber-Str.

More information

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC The Impact of EUV on the Semiconductor Supply Chain Scotten W. Jones President IC Knowledge LLC sjones@icknowledge.com Outline Why EUV Who needs EUV EUV adoption roadmaps EUV wafer volume projections Impact

More information

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World,AIMS EUV Development Design Study Karl-Heinz Bechstein, Mathias Esselbach, Wolfgang Harnisch, Norbert Rosenkranz, Thomas Scherübl, Holger

More information

Characterization of Optical Proximity Correction Features

Characterization of Optical Proximity Correction Features Characterization of Optical Proximity Correction Features John Allgair, Michelle Ivy, Kevin Lucas, John Sturtevant Motorola APRDL, Austin, TX 7871 Richard Elliott, Chris A. Mack, Craig MacNaughton, John

More information

Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation

Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation Masaya Kato a, Hideki Inuzuka a, Takeshi Kosuge a, Shingo Yoshikawa a, Koichi Kanno a, Hidemichi

More information

Title: ASML PAS 5500 Job Creation Semiconductor & Microsystems Fabrication Laboratory Revision: D Rev Date: 09/20/2012

Title: ASML PAS 5500 Job Creation Semiconductor & Microsystems Fabrication Laboratory Revision: D Rev Date: 09/20/2012 Approved by: Process Engineer / / / / Equipment Engineer 1 SCOPE The purpose of this document is to detail the creation of stepper jobs for the ASML PAS 5500. All users are expected to have read and understood

More information

PERFORMANCE OF A STEP AND SCAN SYSTEM FOR DUV LITHOGRAPHY

PERFORMANCE OF A STEP AND SCAN SYSTEM FOR DUV LITHOGRAPHY PERFORMANCE OF A STEP AND SCAN SYSTEM FOR DUV LITHOGRAPHY G. de Zwart, M. van den Brink, R. George, D. Satriasaputra, J. Baselmans, H. Butler, J. van Schoot, J. de Klerk. This paper was first presented

More information

Extending the Era of Moore s Law

Extending the Era of Moore s Law 14 nm chip X SEM from www.intel.com/content/dam/www/public/us/en/documents/pdf/foundry/mark bohr 2014 idf presentation.pdf Extending the Era of Moore s Law Tsu Jae King Liu Department of Electrical Engineering

More information

Actinic review of EUV masks: First results from the AIMS EUV system integration

Actinic review of EUV masks: First results from the AIMS EUV system integration Invited Paper Actinic review of EUV masks: First results from the AIMS EUV system integration Markus R. Weiss* a, Dirk Hellweg a, Jan Hendrik Peters b, Sascha Perlitz b, Anthony Garetto b, Michael Goldstein

More information

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial Marks for EUV mask blanks Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial marks are laser scribed on 200 mm wafers to enable defect registration on metrology

More information

Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010

Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010 Approved by: Process Engineer / / / / Equipment Engineer 1 SCOPE The purpose of this document is to detail the use of the ASML PAS 5500 Stepper. All users are expected to have read and understood this

More information

Critical Dimension Control and its Implications in IC Performance

Critical Dimension Control and its Implications in IC Performance 1 Critical Dimension Control and its Implications in IC Performance Costas J. Spanos FLCC, 10/23/06 2 Critical Dimension in Perspective (Leff in particular) Controls both leakage and saturation current

More information

UV2Litho Usable Vacuum Ultra Violet Lithography

UV2Litho Usable Vacuum Ultra Violet Lithography UV2Litho Usable Vacuum Ultra Violet Lithography A.M. Goethals, R. Jonckheere, F. Van Roey, Jan Hermans, A. Eliat, K. Ronse (IMEC) P. Wong (ASML) P. Zandbergen (Philips) M. Vasconi, E. Severgnini (STMicroelectronics

More information

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography 1 Reference 1. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (2001) 2. - (2004) 3. Semiconductor Physics and Devices-

More information

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices 1 UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices Katsuya Watanabe

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Photomask and X-Ray Mask Technology VII SPIE Vol. 466, pp. 172-179. It is made available

More information

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Title Spatial scaling metrics of mask-induced induced line-edge roughness Permalink https://escholarship.org/uc/item/5rc666c3

More information

Technology Improvement and Fault TCP Etch Chamber and a Dual Frequency Oxide Etch Chamber

Technology Improvement and Fault TCP Etch Chamber and a Dual Frequency Oxide Etch Chamber Technology Improvement and Fault Detection @ TCP Etch Chamber and a Dual Frequency Oxide Etch Chamber Russell Benson, Micron Daniel Steckert, Micron Lutz Eichhorn, Plasmetrex Michael Klick, Plasmetrex

More information

Nanometer Ceria Slurries for Front-End CMP Applications, Extendable to 65nm Technology Node and Beyond

Nanometer Ceria Slurries for Front-End CMP Applications, Extendable to 65nm Technology Node and Beyond Nanometer Ceria Slurries for Front-End CMP Applications, Extendable to 65nm Technology Node and Beyond Cass Shang, Robert Small and Raymond Jin* DuPont Electronic Technologies, 2520 Barrington Ct., Hayward,

More information

IC Fabrication Technology

IC Fabrication Technology IC Fabrication Technology * History: 1958-59: J. Kilby, Texas Instruments and R. Noyce, Fairchild * Key Idea: batch fabrication of electronic circuits n entire circuit, say 10 7 transistors and 5 levels

More information

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

Methodology of modeling and simulating line-end shortening effects in deep-uv resist Methodology of modeling and simulating line-end shortening effects in deep-uv resist Mosong Cheng*, Ebo Croffie, Andrew Neureuther Electronics Research Laboratory Department of Electrical Engineering and

More information

Recent progress in nanoparticle photoresist development for EUV lithography

Recent progress in nanoparticle photoresist development for EUV lithography Recent progress in nanoparticle photoresist development for EUV lithography Kazuki Kasahara ab, Vasiliki Kosma b, Jeremy Odent b, Hong Xu b, Mufei Yu b, Emmanuel P. Giannelis b, Christopher K. Ober b a

More information

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications D. Tsoukalas, S. Kolliopoulou, P. Dimitrakis, P. Normand Institute of Microelectronics, NCSR Demokritos, Athens, Greece S. Paul,

More information

EUV Lithography Status and Key Challenges for HVM Implementation

EUV Lithography Status and Key Challenges for HVM Implementation EUV Lithography Status and Key Challenges for HVM Implementation Sam Intel Corporation Moore s Law at Intel 10 Feature Size (um) 100 Cell Area (sq um) 1 10 0.5x every 2 years 0.1 1 0.01 1970 1980 1990

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

Microsystems Technology Laboratories i-stepperthursday, October 27, 2005 / site map / contact

Microsystems Technology Laboratories i-stepperthursday, October 27, 2005 / site map / contact Microsystems Technology Laboratories i-stepperthursday, October 27, 2005 / site map / contact Fabrication BecomING an MTL Fab. User Internal MIT Users External Users Facilities Fab. staff MTL Orientation

More information

Fall 2003 EE290H Tentative Weekly Schedule

Fall 2003 EE290H Tentative Weekly Schedule Fall 2003 EE290H Tentative Weekly Schedule 1. Functional Yield of ICs and DFM. 2. Parametric Yield of ICs. 3. Yield Learning and Equipment Utilization. 4. Statistical Estimation and Hypothesis Testing.

More information

More on Stochastics and the Phenomenon of Line-Edge Roughness

More on Stochastics and the Phenomenon of Line-Edge Roughness More on Stochastics and the Phenomenon of Line-Edge Roughness Chris A. Mack 34 th International Photopolymer Science and Technology Conference Chiba, Japan, June 28, Conclusions We need more than just

More information

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies Hans Tuinhout, The Netherlands motivation: from deep submicron digital ULSI parametric spread

More information

EUREKA: A new Industry EUV Research Center at LBNL

EUREKA: A new Industry EUV Research Center at LBNL EUREKA: A new Industry EUV Research Center at LBNL Patrick Naulleau Center for X-ray Optics Lawrence Berkeley National Laboratory Berkeley Lab MSD Materials Sciences Division 1 Operating model Core operational

More information

SiO 2 Buffer-Etch Processes with a TaN Absorber for EUV Mask Fabrication

SiO 2 Buffer-Etch Processes with a TaN Absorber for EUV Mask Fabrication SiO 2 Buffer-Etch Processes with a TaN Absorber for EUV Mask Fabrication Florian Letzkus *a, Joerg Butschke a, Corinna Koepernik a, Christian Holfeld b, Josef Mathuni c, Lutz Aschke d, Frank Sobel d a

More information

Overview of EUV Lithography and EUV Optics Contamination

Overview of EUV Lithography and EUV Optics Contamination Accelerating the next technology revolution Overview of EUV Lithography and EUV Optics Contamination Andrea Wüest NIST Contamination WS Gaithersburg, MD June 2, 2009 Copyright 2008 SEMATECH, Inc. SEMATECH,

More information

We published the text from the next page.

We published the text from the next page. Title:Shedding light on EUV mask inspection Authors:Kazunori Seki, Karen Badger, Emily Gallagher, Toshio Konishi, Gregory McIntyre Publisher:Photomask Japan 2012(SPIE) Citation:Kazunori Seki, Karen Badger,

More information

0. Table of contents. Author: Jaap Snijder

0. Table of contents. Author: Jaap Snijder Document nr. : JaaSni-20101209-01V01 Page nr. : 0 Author: Jaap Snijder 0. Table of contents 0. Table of contents... 0 1. Changes compared to previous versions... 1 2. Safety... 2 2.1 General... 2 2.2 Chemicals...

More information

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press Errata, by Chris Mack, chris@lithoguru.com While teaching out of this book at the University

More information

The Waferstepper Challenge: Innovation and Reliability despite Complexity

The Waferstepper Challenge: Innovation and Reliability despite Complexity : Innovation and Reliability despite Complexity by Gerrit Muller University of South-Eastern Norway-NISE e-mail: gaudisite@gmail.com www.gaudisite.nl Abstract The function of the waferstepper is explained

More information

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node Darren Taylor Photronics, Allen TX 75013 Anthony Vacca, Larry Zurbrick KLA Tencor, 160 Rio Robles, San

More information

Discussions start next week Labs start in week 3 Homework #1 is due next Friday

Discussions start next week Labs start in week 3 Homework #1 is due next Friday EECS141 1 Discussions start next week Labs start in week 3 Homework #1 is due next Friday Everyone should have an EECS instructional account Use cory, quasar, pulsar EECS141 2 1 CMOS LEAKAGE CHARACTERIZATION

More information

Application of plasma parameters to characterize product interactions between memory and logic products at Gate Contact (GC) Stack etch in LAM TCP

Application of plasma parameters to characterize product interactions between memory and logic products at Gate Contact (GC) Stack etch in LAM TCP Application of plasma parameters to characterize product interactions between memory and logic products at Gate Contact (GC) Stack etch in LAM TCP Page 1 Das diesem Bericht zugrundeliegende Vorhaben wurde

More information

Modeling Random Variability of 16nm Bulk FinFETs

Modeling Random Variability of 16nm Bulk FinFETs Modeling Random Variability of 16nm Bulk FinFETs Victor Moroz, Qiang Lu, and Munkang Choi September 9, 2010 1 Outline 2 Outline 3 16nm Bulk FinFETs for 16nm Node Simulation domain 24nm fin pitch 56nm gate

More information

Transmission Electron Microscopy for metrology and characterization of semiconductor devices

Transmission Electron Microscopy for metrology and characterization of semiconductor devices Transmission Electron Microscopy for metrology and characterization of semiconductor devices Bert Freitag, Laurens Kwakman, Ivan Lazic and Frank de Jong FEI / ThermoFisher Scientific, Achtseweg Noord 5,

More information

Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process

Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process Mandar Bhave, Kevin Edwards, Carlton Washburn Brewer Science, Inc., 2401 Brewer Dr., Rolla, MO 65401,

More information

Actual Measurement Data Obtained On New 65nm Generation Mask Metrology Tool Set

Actual Measurement Data Obtained On New 65nm Generation Mask Metrology Tool Set Actual Measurement Data Obtained On New 65nm Generation Mask Metrology Tool Set Jochen Bender, Michael Ferber, Klaus-Dieter Röth, Gerhard Schlüter, Walter Steinberg, Leica Microsystems Semiconductor GmbH,

More information

Single Pass Die to Database Tritone Reticle Inspection Capability

Single Pass Die to Database Tritone Reticle Inspection Capability Single Pass Die to Database Tritone Reticle Inspection Capability Bryan Reese, KLA-Tencor Corporation, Austin, Texas, USA Jan Heumann, AMTC, Dresden, Germany Norbert Schmidt, KLA-Tencor Corporation, Dresden,

More information

EE-612: Lecture 22: CMOS Process Steps

EE-612: Lecture 22: CMOS Process Steps EE-612: Lecture 22: CMOS Process Steps Mark Lundstrom Electrical and Computer Engineering Purdue University West Lafayette, IN USA Fall 2006 NCN www.nanohub.org Lundstrom EE-612 F06 1 outline 1) Unit Process

More information

E152 Standard Revision: EUV-pod Reticle Carrier

E152 Standard Revision: EUV-pod Reticle Carrier E152 Standard Revision: EUV-pod Reticle Carrier February 27, 2011, San Jose EUV Reticle Handling TF Co-chairs/Key Contributors: Long He (Intel), David Halbmaier (Entegris), John Lystad (Entegris), John

More information

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 21 st Annual BACUS Symposium on Photomask Technology SPIE Vol. 4562, pp. 486-495.

More information

Resist-outgas testing and EUV optics contamination at NIST

Resist-outgas testing and EUV optics contamination at NIST 1 2012 International Workshop on EUVL, Maui, HI Resist-outgas testing and EUV optics contamination at NIST Shannon Hill, Nadir Faradzhev, Charles Tarrio, Steve Grantham, Lee Richter and Tom Lucatorto National

More information

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Senichi Nishibe a, Thaddeus Dziura a, Venkat Nagaswami a, Roel Gronheid b a KLA-Tencor Corporation, 1 Technology Drive, Milpitas

More information

Ebeam based mask repair as door opener for defect free EUV masks M. Waiblinger a, Tristan Bret a, R. Jonckheere b, D.

Ebeam based mask repair as door opener for defect free EUV masks M. Waiblinger a, Tristan Bret a, R. Jonckheere b, D. Ebeam based mask repair as door opener for defect free EUV masks M. Waiblinger a, Tristan Bret a, R. Jonckheere b, D. Van den Heuvel b a Carl Zeiss SMS GmbH - Carl-Zeiss-Promenade 10, 07745 Jena, / Industriestraße

More information

= n. Psin. Qualitative Explanation of image degradation by lens + 2. parallel optical beam. θ spatial frequency 1/P. grating with.

= n. Psin. Qualitative Explanation of image degradation by lens + 2. parallel optical beam. θ spatial frequency 1/P. grating with. Qualitative Explanation of image degradation by lens Mask + 2 lens wafer plane +1 φ 0 parallel optical beam -2-1 grating with θ spatial frequency 1/P Psin φ = n λ n = 0, ± 1, ± 2,... L S P l m P=2L sin

More information

SCI. Scientific Computing International. Scientific Computing International. FilmTek. Raising Thin Film Metrology Performance to a New Level

SCI. Scientific Computing International. Scientific Computing International. FilmTek. Raising Thin Film Metrology Performance to a New Level FilmTek Raising Thin Film Metrology Performance to a New Level 1 Through Silicon Via (TSV) Metrology FilmTek TM TM TSV TSV Metrology Advantages Measure high aspect ratio TSV structures (up to 30:1) Measure

More information

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems Metrology, 61 Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems Manish Chandhok,, Sang H. Lee, Christof Krautschik, Guojing Zhang, Bryan

More information

A Reticle Correction Technique to Minimize Lens Distortion Effects

A Reticle Correction Technique to Minimize Lens Distortion Effects A Reticle Correction Technique to Minimize Lens Distortion Effects Warren W. Flack, Gary E. Flores, Alan Walther and Manny Ferreira Ultratech Stepper, Inc. San Jose, CA 95134 Mix-and-match lithography

More information

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure A. Abdo, ab L. Capodieci, a I. Lalovic, a and R. Engelstad b a Advanced

More information

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures Polarized white light from hybrid organic/iii-nitrides grating structures M. Athanasiou, R. M. Smith, S. Ghataora and T. Wang* Department of Electronic and Electrical Engineering, University of Sheffield,

More information

EE141- Spring 2003 Lecture 3. Last Lecture

EE141- Spring 2003 Lecture 3. Last Lecture - Spring 003 Lecture 3 IC Manufacturing 1 Last Lecture Design Metrics (part 1) Today Design metrics (wrap-up) IC manufacturing 1 Administrivia Discussion sessions start this week. Only one this week (Dejan

More information

Analysis of carbon contamination on EUV mask using CSM/ ICS

Analysis of carbon contamination on EUV mask using CSM/ ICS Analysis of carbon contamination on EUV mask using CSM/ ICS Jae Uk Lee 1, Chang Young Jeong 1, Sangsul Lee 1, Jong Gul Doh 1,, Dong Geun Lee 2, Seong-Sue Kim 2, Han-Ku Cho 2, Seung-yu Rah 3 and Jinho Ahn

More information