= n. Psin. Qualitative Explanation of image degradation by lens + 2. parallel optical beam. θ spatial frequency 1/P. grating with.

Size: px
Start display at page:

Download "= n. Psin. Qualitative Explanation of image degradation by lens + 2. parallel optical beam. θ spatial frequency 1/P. grating with."

Transcription

1 Qualitative Explanation of image degradation by lens Mask + 2 lens wafer plane +1 φ 0 parallel optical beam -2-1 grating with θ spatial frequency 1/P Psin φ = n λ n = 0, ± 1, ± 2,... L S P l m P=2L sin φ < NA of lens Sheats and Smith 15

2 Bragg Condition P Incident ray with wave fronts L S Quartz P=L+S P sin n = nλ ϕ nλ φ n Transmitted ray Chrome Wavefronts Ray of Light Diffracted ray #3 The Bragg condition sets the diffraction angles 16

3 Pupil Wave Traffic: Partial Coherence #4 Lens is a low pass filter of mask diffraction at Bragg angles Sinθ Y NA Shifted by sinφ = λ/p Lens Pupil Sinθ MAX = NA Cone of Incident Light Some misses pupil Diffracted Orders from a mask with period P Sinθ X +2 Potential for entering the pupil 17

4 Electric Field: Sinusoids Binary Mask with period P and opening space s P When filtered to three waves (0, +1, and -1) E( x) = E + 2E1 s [ ( s )] 0 A sin nπ E P n = 2 [ ( s )] nπ 2πx cos P k x1 = 2π/P P When s = P/2 E( x) = cos π 2πx P Sheats and Smith 18

5 Intensity as Square of Electric Field The energy carried by a wave and the work done on a material are proportional to the time average of the square of the electric field. Thus the intensity is proportional to E 2 when the field is real and EE* when phasors are used and E is complex. Intensity = EE* gives I * ( x) = EE = E + 2E E cos( ) + 4E cos πx 2πx Since the Fourier transform converges to the average at a discontinuity, the electric field at the mask edge will be about 0.5, and the intensity at a mask edge will be about #5 The intensity at a mask edge is only 30% of the clear field intensity regardless of feature type and size. P 1 P 19

6 Intensity at the mask edge is about 0.30 for all feature types Mask Edge Space Dense L = S Sigma 0.5 Dense Line C DENSE = ( ) ( ) = 0.98 Convention: Line is a line in positive resist. Image Contrast C = (I max I min )/(I max + I min ) 20

7 #6 Superposition Fails for Images! (but superposition holds for Electric-Fields instead) 0.3λ/NA 0.5λ/NA 0.8λ/NA Much taller and wider. Peak intensity initially increases as the square of linewidth. Consequence of I = EE* This messes up fast OPC based on linear transforms!! 21

8 Mask Error Factor (MEF) Linewidth on Wafer Another Consequence of I = EE* (Linewidth on Mask)/M Expected Lines (2x slope) Contacts (4x slope) Effect is larger at k 1 < 0.6 CD PRINTED = MEF CD M MASK MEF = MEEF = Mask Error Enhancement Factor 22

9 Focus Behavior: Bossong Plot (SMILE) Dense Line = Space = 180 nm Line = 300 nm Exposure Exposure JSR M91Y resist, 248nm, NA = 0.63, 0.8/0.4 annular illumination C. Mack

10 Process Window: Exposure/Focus Percent Exposure Variation 60.0 Percent Exposure Latitude Line Focal Position (microns) Space Contour Map for 10% linewidth change Depth of Focus (microns) C. Mack

11 Standing Waves hv Incident Aerial Image Positive Photoresist substrate After development Positive Photoresist. substrate 25

12 Electric Field within Resist Air Resist n 1 n 2 Substrate n 3 5 waves match boundary conditions (or use signal flow analysis) use definition of τ D Downward wave Round trip propagation Upward wave E RESIST ( x, y, z) = E AIR _ INC τ ( x, y) 12 Transmission in Reflection at substrate ( jk ) 2z 2 + jk2z e + ρ τ e 1+ ρ 12 ρ τ D 2 D Round trip loop gain (loss) 26

13 Measured Dissolution vs. Dose i-line (Positive) DUV (Negative) DUV (Positive) S-shaped Corner-shaped Corner-shaped γ > 5 γ > 8 γ > 15 27

14 Dissolution Rate Models R(E) R R DILL ( M ) = e 2 ( E + E M + E M ) 1 ( M, z) f ( M, z) R ( M KIM = 2 3 BULK z f ( M, z) = 1 R MACK ( 1 ( R5 ( R5 R6 ) M )) 4 e R Dissolution rate R in µm/s as a function of energy E or photoactive generator M. ) 2 BULK ( a + 1)(1 M ) + ( 1 M ) n ( M ) RMAX R ( M ) = + R Ferguson ( ) MIN a ( M ) = R0 (1 CE / C0 n α ) ( ) M It = R = e 1 Me R ICt R 1 = e 1 M ) E Ct 1 + Me R 3 ( 3 R (1 M ) 2 28

15 Hands On Exploration 29

16 Basic Projection Printing Applet Sigma_in Dense Defocus 30

17 Annular Illumination: k 1 =0.4 Large DOF L = S = 0.4 σ IN = 0.5 σ OUT = 0.8 DOF = 2.0 Contrast =

18 LAVA Applet: Pattern and Aberration This applet is one of mask type choices in the interaction of defects with features applet. 32

19 Image Quality: Across Line k 1 = 0.6 Feature Slope: 2.5/(λ/NA) This 1D image slope is nearly doubled by I =EE*. Mask Opening This 1D image slope is nearly independent of feature size. Another Consequence of I = EE* 33

20 Image Quality: Line End k 1 = 0.6 Feature Slope: 1.8/(λ/NA) Mask Opening #7 The slope of the 2D image at the end of the line is only 72% as large. 34

21 Basic Aberrations in Projection Printing These are simple aberrations that are not always orthogonal to each other (e.g. coma contains tilt.) 35

22 Simple Coma 0.10 Waves 3 space pattern Coma Unaberrated This bump suggests ways to monitor coma. 36

23 SAMPLE2D Resist Line Edge Profile 75s 15s 30s 45s 60s Mack Model 120 mj/cm 2 #8A Interference in the resist produces standingwaves with a period = λ/2n RESIST 37

24 Simpl_display PC Layout Viewer for GDSII Frank Gennari 2004 SRC Right mouse click and drag to enlarge Then f key to return to fit to window Abacus Chip Example Download this code from the LAVA website 38

25 Simpl_display PC Layout View Manipulation Numbers on keyboard toggle mask levels: 1= poly, 2=con, 3=met Left poly con 3 => Right poly Con met Lower case s saves current view as jpg in an image file Stipple patterns are possible with 8 x8 fill pattern: NAME POLY RGB FILL

Purpose: Explain the top 10 phenomena and concepts key to

Purpose: Explain the top 10 phenomena and concepts key to Basic rojection rinting (B) Modules urpose: Explain the top 10 phenomena and concepts key to understanding optical projection printing B-1: Resolution and Depth of Focus (1.5X) B-2: Bragg condition and

More information

* AIT-4: Aberrations. Copyright 2006, Regents of University of California

* AIT-4: Aberrations. Copyright 2006, Regents of University of California Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. AIT-: LER and Chemically Amplified Resists

More information

Chromeless Phase Lithography (CPL)

Chromeless Phase Lithography (CPL) Chromeless Phase Lithography (CPL) Chromeless Phase Lithography or CPL is a recent development in the area of phase shifting technology that is extending the perceived k 1 limits and has the potential

More information

AIT-1: LER and Chemically Amplified Resists. AIT-4: Aberrations AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

AIT-1: LER and Chemically Amplified Resists. AIT-4: Aberrations AIT-5: Maskless, High-NA, Immersion, EUV, Imprint Advanced Issues and Technology (AIT) Modules Purpose: xplain the top advanced issues and concepts in optical projection printing and electron-beam lithography. h AIT-1: R and Chemically Amplified Resists

More information

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT Photolithography Evolution 1 : Evolution 2 Photomasks Substrates: Type : thermal expansion Chrome Pellicles Mask: OPC and PSM Fabrication: E-Beam or Laser 3 Photomask Information Websites: http://www.photronics.com/internet/corpcomm/publications/basics101/basics.

More information

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y T u t o r (Summer 1994) Swing Curves Chris A. Mack, FINLE Technologies, Austin, Texas In the last edition of this column, we saw that exposing a photoresist involves the propagation

More information

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 2th Annual BACUS Symposium on Photomask Technology, SPIE Vol. 67- and is made available

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Photomask and X-Ray Mask Technology VII SPIE Vol. 466, pp. 172-179. It is made available

More information

Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XVI, SPIE Vol. 5040, pp. 151-161. It is made available

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Bossung Curves; an old technique with a new twist for sub-90 nm nodes Terrence E. Zavecz TEA Systems

Bossung Curves; an old technique with a new twist for sub-90 nm nodes Terrence E. Zavecz TEA Systems Phone: (+01) 610 682 4146 Email: Info@TEAsystems.com http://www.teasystems.com TEA Systems Corporation 65 Schlossburg St. Alburtis, PA 18011 USA Bossung Curves; an old technique with a new twist for sub-90

More information

High Optical Density Photomasks For Large Exposure Applications

High Optical Density Photomasks For Large Exposure Applications High Optical Density Photomasks For Large Exposure Applications Dan Schurz, Warren W. Flack, Makoto Nakamura Ultratech Stepper, Inc. San Jose, CA 95134 Microlithography applications such as advanced packaging,

More information

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Title Spatial scaling metrics of mask-induced induced line-edge roughness Permalink https://escholarship.org/uc/item/5rc666c3

More information

Characterization of Optical Proximity Correction Features

Characterization of Optical Proximity Correction Features Characterization of Optical Proximity Correction Features John Allgair, Michelle Ivy, Kevin Lucas, John Sturtevant Motorola APRDL, Austin, TX 7871 Richard Elliott, Chris A. Mack, Craig MacNaughton, John

More information

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

Methodology of modeling and simulating line-end shortening effects in deep-uv resist Methodology of modeling and simulating line-end shortening effects in deep-uv resist Mosong Cheng*, Ebo Croffie, Andrew Neureuther Electronics Research Laboratory Department of Electrical Engineering and

More information

Part 1 - Basic Interferometers for Optical Testing

Part 1 - Basic Interferometers for Optical Testing Part 1 - Basic Interferometers for Optical Testing Two Beam Interference Fizeau and Twyman-Green interferometers Basic techniques for testing flat and spherical surfaces Mach-Zehnder Zehnder,, Scatterplate

More information

Horizontal-Vertical (H-V) Bias, part 2

Horizontal-Vertical (H-V) Bias, part 2 Tutor52.doc: Version 11/4/05 T h e L i t h o g r a p h y E x p e r t (February 2006) Horizontal-Vertical (H-V) Bias, part 2 Chris A. Mack, Austin, Texas In the last edition of this column we looked at

More information

193 nm STEP AND SCAN LITHOGRAPHY

193 nm STEP AND SCAN LITHOGRAPHY 193 nm STEP AND SCAN LITHOGRAPHY Guy Davies, Judon Stoeldraijer, Barbra Heskamp, Jan Mulkens, Joost Sytsma, Hans Bakker ASML BV, De Run 111, 553 LA Veldhoven, The Netherlands Holger Glatzel, Christian

More information

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XVIII, SPIE Vol. 4345, pp. 1013-1021.

More information

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH High NA the Extension Path of EUV Lithography Dr. Tilmann Heil, Carl Zeiss SMT GmbH Introduction This talk is about resolution. Resolution λ = k 1 NA High-NA NA 0.33 0.4 0.5 0.6 Resolution @ k1=0.3 single

More information

AOL Spring Wavefront Sensing. Figure 1: Principle of operation of the Shack-Hartmann wavefront sensor

AOL Spring Wavefront Sensing. Figure 1: Principle of operation of the Shack-Hartmann wavefront sensor AOL Spring Wavefront Sensing The Shack Hartmann Wavefront Sensor system provides accurate, high-speed measurements of the wavefront shape and intensity distribution of beams by analyzing the location and

More information

Optics Optical Testing and Testing Instrumentation Lab

Optics Optical Testing and Testing Instrumentation Lab Optics 513 - Optical Testing and Testing Instrumentation Lab Lab #6 - Interference Microscopes The purpose of this lab is to observe the samples provided using two different interference microscopes --

More information

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems Metrology, 61 Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems Manish Chandhok,, Sang H. Lee, Christof Krautschik, Guojing Zhang, Bryan

More information

Optics.

Optics. Optics www.optics.rochester.edu/classes/opt100/opt100page.html Course outline Light is a Ray (Geometrical Optics) 1. Nature of light 2. Production and measurement of light 3. Geometrical optics 4. Matrix

More information

Optics for Engineers Chapter 11

Optics for Engineers Chapter 11 Optics for Engineers Chapter 11 Charles A. DiMarzio Northeastern University Nov. 212 Fourier Optics Terminology Field Plane Fourier Plane C Field Amplitude, E(x, y) Ẽ(f x, f y ) Amplitude Point Spread

More information

Lecture 19 Optical MEMS (1)

Lecture 19 Optical MEMS (1) EEL6935 Advanced MEMS (Spring 5) Instructor: Dr. Huikai Xie Lecture 19 Optical MEMS (1) Agenda: Optics Review EEL6935 Advanced MEMS 5 H. Xie 3/8/5 1 Optics Review Nature of Light Reflection and Refraction

More information

Optics for Engineers Chapter 11

Optics for Engineers Chapter 11 Optics for Engineers Chapter 11 Charles A. DiMarzio Northeastern University Apr. 214 Fourier Optics Terminology Apr. 214 c C. DiMarzio (Based on Optics for Engineers, CRC Press) slides11r1 1 Fourier Optics

More information

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XVI, SPIE Vol. 3678, pp. 1-1011. It

More information

Rapid and precise monitor of reticle haze

Rapid and precise monitor of reticle haze Rapid and precise monitor of reticle haze Terrence Zavecz a, Bryan Kasprowicz b a TEA Systems Corp., 65 Schlossburg St. Alburtis, PA 18011 USA: Email: tzavecz@teasystems.com b Photronics Inc., 601 millennium

More information

The MEEF Shall Inherit the Earth

The MEEF Shall Inherit the Earth The MEEF Shall Inherit the Earth. 1 1 2 2.3 4 Will Conley, Cesar Garza, Mircea Dusa, Robert Socha, Joseph Bendik, Chris Mack 1Motorola Austin, TX 2ASML Mask Tools San Jose, CA 3Dynamic Intelligence Inc.

More information

Designing a Computer Generated Hologram for Testing an Aspheric Surface

Designing a Computer Generated Hologram for Testing an Aspheric Surface Nasrin Ghanbari OPTI 521 Graduate Report 2 Designing a Computer Generated Hologram for Testing an Aspheric Surface 1. Introduction Aspheric surfaces offer numerous advantages in designing optical systems.

More information

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure A. Abdo, ab L. Capodieci, a I. Lalovic, a and R. Engelstad b a Advanced

More information

Electric field enhancement in metallic and multilayer dielectric gratings

Electric field enhancement in metallic and multilayer dielectric gratings Electric field enhancement in metallic and multilayer dielectric gratings B. W. Shore, M. D. Feit, M. D. Perry, R. D. Boyd, J. A. Britten, R. Chow, G. E. Loomis Lawrence Livermore National Laboratory,

More information

ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM

ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM Jan van Schoot, Bert Koek, Chris de Mol, Peter van Oorschot. ASML Veldhoven, The Netherlands This paper was first presented at the Semicon/

More information

n The visual examination of the image of a point source is one of the most basic and important tests that can be performed.

n The visual examination of the image of a point source is one of the most basic and important tests that can be performed. 8.2.11 Star Test n The visual examination of the image of a point source is one of the most basic and important tests that can be performed. Interpretation of the image is to a large degree a matter of

More information

2.71. Final examination. 3 hours (9am 12 noon) Total pages: 7 (seven) PLEASE DO NOT TURN OVER UNTIL EXAM STARTS PLEASE RETURN THIS BOOKLET

2.71. Final examination. 3 hours (9am 12 noon) Total pages: 7 (seven) PLEASE DO NOT TURN OVER UNTIL EXAM STARTS PLEASE RETURN THIS BOOKLET 2.71 Final examination 3 hours (9am 12 noon) Total pages: 7 (seven) PLEASE DO NOT TURN OVER UNTIL EXAM STARTS Name: PLEASE RETURN THIS BOOKLET WITH YOUR SOLUTION SHEET(S) MASSACHUSETTS INSTITUTE OF TECHNOLOGY

More information

Performance Enhancement of 157 nm Newtonian Catadioptric Objectives

Performance Enhancement of 157 nm Newtonian Catadioptric Objectives Performance Enhancement of 157 nm Newtonian Catadioptric Objectives James Webb, Timothy Rich, Anthony Phillips and Jim Cornell Corning Tropel Corporation, 60 O Connor Rd, Fairport, NY 14450, 585-377-3200

More information

Silicon VLSI Technology. Fundamentals, Practice and Modeling

Silicon VLSI Technology. Fundamentals, Practice and Modeling Text Book: Silicon VLSI Technology Fundamentals, Practice and Modeling Authors: J. D. Plummer, M. D. Deal, and P. B. Griffin Photolithography (Chap. 1) Basic lithography process Apply photoresist Patterned

More information

Title: ASML PAS 5500 Job Creation Semiconductor & Microsystems Fabrication Laboratory Revision: D Rev Date: 09/20/2012

Title: ASML PAS 5500 Job Creation Semiconductor & Microsystems Fabrication Laboratory Revision: D Rev Date: 09/20/2012 Approved by: Process Engineer / / / / Equipment Engineer 1 SCOPE The purpose of this document is to detail the creation of stepper jobs for the ASML PAS 5500. All users are expected to have read and understood

More information

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Lithography for Semiconductor Manufacturing SPIE Vol. 3741, pp. 148-160. It is made

More information

Chapter 6 SCALAR DIFFRACTION THEORY

Chapter 6 SCALAR DIFFRACTION THEORY Chapter 6 SCALAR DIFFRACTION THEORY [Reading assignment: Hect 0..4-0..6,0..8,.3.3] Scalar Electromagnetic theory: monochromatic wave P : position t : time : optical frequency u(p, t) represents the E or

More information

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations Chapter 2 Process Variability Overview Parameter variability has always been an issue in integrated circuits. However, comparing with the size of devices, it is relatively increasing with technology evolution,

More information

Topic 4: Waves 4.3 Wave characteristics

Topic 4: Waves 4.3 Wave characteristics Guidance: Students will be expected to calculate the resultant of two waves or pulses both graphically and algebraically Methods of polarization will be restricted to the use of polarizing filters and

More information

Adaptive Optics Lectures

Adaptive Optics Lectures Adaptive Optics Lectures 1. Atmospheric turbulence Andrei Tokovinin 1 Resources CTIO: www.ctio.noao.edu/~atokovin/tutorial/index.html CFHT AO tutorial: http://www.cfht.hawaii.edu/instruments/imaging/aob/other-aosystems.html

More information

Nature of Light Part 2

Nature of Light Part 2 Nature of Light Part 2 Fresnel Coefficients From Helmholts equation see imaging conditions for Single lens 4F system Diffraction ranges Rayleigh Range Diffraction limited resolution Interference Newton

More information

Resist material for negative tone development process

Resist material for negative tone development process Resist material for negative tone development process FUJIFILM Corporation Electronic Materials Research Laboratories P-1 Outline 1. Advantages of negative tone imaging for DP 2. Process maturity of negative

More information

Imaging Metrics. Frequency response Coherent systems Incoherent systems MTF OTF Strehl ratio Other Zemax Metrics. ECE 5616 Curtis

Imaging Metrics. Frequency response Coherent systems Incoherent systems MTF OTF Strehl ratio Other Zemax Metrics. ECE 5616 Curtis Imaging Metrics Frequenc response Coherent sstems Incoherent sstems MTF OTF Strehl ratio Other Zema Metrics Where we are going with this Use linear sstems concept of transfer function to characterize sstem

More information

Wavefront Sensing using Polarization Shearing Interferometer. A report on the work done for my Ph.D. J.P.Lancelot

Wavefront Sensing using Polarization Shearing Interferometer. A report on the work done for my Ph.D. J.P.Lancelot Wavefront Sensing using Polarization Shearing Interferometer A report on the work done for my Ph.D J.P.Lancelot CONTENTS 1. Introduction 2. Imaging Through Atmospheric turbulence 2.1 The statistics of

More information

1. Waves and Particles 2. Interference of Waves 3. Wave Nature of Light

1. Waves and Particles 2. Interference of Waves 3. Wave Nature of Light 1. Waves and Particles 2. Interference of Waves 3. Wave Nature of Light 1. Double-Slit Eperiment reading: Chapter 22 2. Single-Slit Diffraction reading: Chapter 22 3. Diffraction Grating reading: Chapter

More information

Mask induced polarization effects at high NA

Mask induced polarization effects at high NA Mask induced polarization effects at high NA Andrew Estroff, Yongfa Fan, Anatoly Bourov, Bruce Smith Rochester Institute of Technology, Microelectronic Engineering, Rochester, NY 14623 Philippe Foubert,

More information

iprom Optical Interferometry Prof. Dr. -Ing. Rainer Tutsch Institut für Produktionsmesstechnik IPROM Technische Universität Braunschweig

iprom Optical Interferometry Prof. Dr. -Ing. Rainer Tutsch Institut für Produktionsmesstechnik IPROM Technische Universität Braunschweig Optical Interferometry Prof. Dr. -Ing. Rainer Tutsch Institut für Produktionsmesstechnik IPROM Technische Universität Braunschweig Frontiers of Metrology April 1, 01 I P NSTITUT FÜR RODUKTIONSMESSTECHNIK

More information

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Manish Chandhok, a Heidi Cao, a Wang Yueh, a Eric Gullikson, b Robert Brainard, c Stewart Robertson c a Intel Corporation,

More information

Laser Speckle and Applications in Optics

Laser Speckle and Applications in Optics Laser Speckle and Applications in Optics M. FRANCON Optics Laboratory Faculty of Sciences University of Paris Paris, France Translated by HENRI H. ARSENAULT Department of Physics Laval University Quebec,

More information

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Photolithography Overview  9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview http://www.intel.com/research/silicon/mooreslaw.htm 1 Moore s law only holds due to photolithography advancements in reducing linewidths 2 All processing to create electric components and circuits rely

More information

Phys 531 Lecture 27 6 December 2005

Phys 531 Lecture 27 6 December 2005 Phys 531 Lecture 27 6 December 2005 Final Review Last time: introduction to quantum field theory Like QM, but field is quantum variable rather than x, p for particle Understand photons, noise, weird quantum

More information

Part 3 - Image Formation

Part 3 - Image Formation Part 3 - Image Formation Three classes of scattering outcomes Types of electron microscopes Example SEM image: fly nose Example TEM image: muscle Skeletal muscle. Cell and Tissue Ultrastructure Mercer

More information

Introduction. Photoresist : Type: Structure:

Introduction. Photoresist : Type: Structure: Photoresist SEM images of the morphologies of meso structures and nanopatterns on (a) a positively nanopatterned silicon mold, and (b) a negatively nanopatterned silicon mold. Introduction Photoresist

More information

2.710 Optics Spring 09 Problem Set #6 Posted Monday, Apr. 6, 2009 Due Wednesday, Apr. 15, 2009

2.710 Optics Spring 09 Problem Set #6 Posted Monday, Apr. 6, 2009 Due Wednesday, Apr. 15, 2009 MASSACHUSETTS INSTITUTE OF TECHNOLOGY 2.710 Optics Spring 09 Problem Set #6 Posted Monday, Apr. 6, 2009 Due Wednesday, Apr. 15, 2009 1. Grating with tilted plane wave illumination Consider a sinusoidal

More information

Design and Correction of optical Systems

Design and Correction of optical Systems Design and Correction of optical Systems Part 10: Performance criteria 1 Summer term 01 Herbert Gross Overview 1. Basics 01-04-18. Materials 01-04-5 3. Components 01-05-0 4. Paraxial optics 01-05-09 5.

More information

Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010

Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010 Approved by: Process Engineer / / / / Equipment Engineer 1 SCOPE The purpose of this document is to detail the use of the ASML PAS 5500 Stepper. All users are expected to have read and understood this

More information

3.1 The Plane Mirror Resonator 3.2 The Spherical Mirror Resonator 3.3 Gaussian modes and resonance frequencies 3.4 The Unstable Resonator

3.1 The Plane Mirror Resonator 3.2 The Spherical Mirror Resonator 3.3 Gaussian modes and resonance frequencies 3.4 The Unstable Resonator Quantum Electronics Laser Physics Chapter 3 The Optical Resonator 3.1 The Plane Mirror Resonator 3. The Spherical Mirror Resonator 3.3 Gaussian modes and resonance frequencies 3.4 The Unstable Resonator

More information

Chapter 35. Interference

Chapter 35. Interference Chapter 35 Interference The concept of optical interference is critical to understanding many natural phenomena, ranging from color shifting in butterfly wings to intensity patterns formed by small apertures.

More information

Aerial image based lens metrology for wafer steppers

Aerial image based lens metrology for wafer steppers Aerial image based lens metrology for wafer steppers P. Dirksen*, J.J.M. Braat**, A.J.E.M. Janssen*, T. Matsuyama***, T. Noda*** *Philips Research Europe, Belgium **Delft University of Technology, The

More information

Fabrication of micro-optical components in polymer using proton beam micro-machining and modification

Fabrication of micro-optical components in polymer using proton beam micro-machining and modification Nuclear Instruments and Methods in Physics Research B 210 (2003) 250 255 www.elsevier.com/locate/nimb Fabrication of micro-optical components in polymer using proton beam micro-machining and modification

More information

Hyper-NA imaging of 45nm node random CH layouts using inverse lithography

Hyper-NA imaging of 45nm node random CH layouts using inverse lithography Hyper-NA imaging of 45nm node random CH layouts using inverse lithography E. Hendrickx* a, A. Tritchkov b, K. Sakajiri b, Y. Granik b, M. Kempsell c, G. Vandenberghe a a IMEC, Kapeldreef 75, B-3001, Leuven,

More information

Design and Correction of Optical Systems

Design and Correction of Optical Systems Design and Correction of Optical Systems Lecture 7: PSF and Optical transfer function 017-05-0 Herbert Gross Summer term 017 www.iap.uni-jena.de Preliminary Schedule - DCS 017 1 07.04. Basics 1.04. Materials

More information

Today. MIT 2.71/2.710 Optics 11/10/04 wk10-b-1

Today. MIT 2.71/2.710 Optics 11/10/04 wk10-b-1 Today Review of spatial filtering with coherent illumination Derivation of the lens law using wave optics Point-spread function of a system with incoherent illumination The Modulation Transfer Function

More information

Exam 3 Solutions. Answer: 1830 Solution: Because of equal and opposite electrical forces, we have conservation of momentum, m e

Exam 3 Solutions. Answer: 1830 Solution: Because of equal and opposite electrical forces, we have conservation of momentum, m e Exam 3 Solutions Prof. Paul Avery Prof. Zongan iu Apr. 27, 2013 1. An electron and a proton, located far apart and initially at rest, accelerate toward each other in a location undisturbed by any other

More information

Measuring aberrations in lithographic projection systems with phase wheel targets

Measuring aberrations in lithographic projection systems with phase wheel targets Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 12-1-2010 Measuring aberrations in lithographic projection systems with phase wheel targets Lena Zavyalova Follow

More information

Double Slit is VERY IMPORTANT because it is evidence of waves. Only waves interfere like this.

Double Slit is VERY IMPORTANT because it is evidence of waves. Only waves interfere like this. Double Slit is VERY IMPORTANT because it is evidence of waves. Only waves interfere like this. Superposition of Sinusoidal Waves Assume two waves are traveling in the same direction, with the same frequency,

More information

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography 1 Reference 1. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (2001) 2. - (2004) 3. Semiconductor Physics and Devices-

More information

Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication

Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication Benjamen M. Rathsack, Cyrus E. Tabery, Timothy B. Stachowiak, Jeff Albelo 2 and C. Grant Willson Department

More information

LC circuit: Energy stored. This lecture reviews some but not all of the material that will be on the final exam that covers in Chapters

LC circuit: Energy stored. This lecture reviews some but not all of the material that will be on the final exam that covers in Chapters Disclaimer: Chapter 29 Alternating-Current Circuits (1) This lecture reviews some but not all of the material that will be on the final exam that covers in Chapters 29-33. LC circuit: Energy stored LC

More information

5. LIGHT MICROSCOPY Abbe s theory of imaging

5. LIGHT MICROSCOPY Abbe s theory of imaging 5. LIGHT MICROSCOPY. We use Fourier optics to describe coherent image formation, imaging obtained by illuminating the specimen with spatially coherent light. We define resolution, contrast, and phase-sensitive

More information

Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation

Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation Masaya Kato a, Hideki Inuzuka a, Takeshi Kosuge a, Shingo Yoshikawa a, Koichi Kanno a, Hidemichi

More information

Optics. n n. sin c. sin

Optics. n n. sin c. sin Optics Geometrical optics (model) Light-ray: extremely thin parallel light beam Using this model, the explanation of several optical phenomena can be given as the solution of simple geometric problems.

More information

Gratings in Electrooptic Polymer Devices

Gratings in Electrooptic Polymer Devices Gratings in Electrooptic Polymer Devices Venkata N.P.Sivashankar 1, Edward M. McKenna 2 and Alan R.Mickelson 3 Department of Electrical and Computer Engineering, University of Colorado at Boulder, Boulder,

More information

PHYSICAL OPTICS. Ans: 1 Sol: The condition to form bright band at a point is to have a path difference of x = nλ From the given problem

PHYSICAL OPTICS. Ans: 1 Sol: The condition to form bright band at a point is to have a path difference of x = nλ From the given problem PHYSCAL OPTCS PREVOUS EAMCET BTS (ENGNEERNG PAPER). n the Young s doule slit experiment the intensities at two points P and P on the screen are respectively and. f P is located at the centre of right fringe

More information

Good Diffraction Practice Webinar Series

Good Diffraction Practice Webinar Series Good Diffraction Practice Webinar Series High Resolution X-ray Diffractometry (1) Mar 24, 2011 www.bruker-webinars.com Welcome Heiko Ress Global Marketing Manager Bruker AXS Inc. Madison, Wisconsin, USA

More information

Spatial Frequency and Transfer Function. columns of atoms, where the electrostatic potential is higher than in vacuum

Spatial Frequency and Transfer Function. columns of atoms, where the electrostatic potential is higher than in vacuum Image Formation Spatial Frequency and Transfer Function consider thin TEM specimen columns of atoms, where the electrostatic potential is higher than in vacuum electrons accelerate when entering the specimen

More information

PHY410 Optics Exam #3

PHY410 Optics Exam #3 PHY410 Optics Exam #3 NAME: 1 2 Multiple Choice Section - 5 pts each 1. A continuous He-Ne laser beam (632.8 nm) is chopped, using a spinning aperture, into 500 nanosecond pulses. Compute the resultant

More information

Actinic review of EUV masks: First results from the AIMS EUV system integration

Actinic review of EUV masks: First results from the AIMS EUV system integration Invited Paper Actinic review of EUV masks: First results from the AIMS EUV system integration Markus R. Weiss* a, Dirk Hellweg a, Jan Hendrik Peters b, Sascha Perlitz b, Anthony Garetto b, Michael Goldstein

More information

The EYE. Physics 1502: Lecture 32 Today s Agenda. Lecture 4. Announcements: Optics. Midterm 2: graded after Thanks Giving

The EYE. Physics 1502: Lecture 32 Today s Agenda. Lecture 4. Announcements: Optics. Midterm 2: graded after Thanks Giving Physics 1502: Lecture 32 Today s Agenda Announcements: Midterm 2: graded after Thanks Giving Homework 09: Friday December 4 Optics Eye interference The EYE ~f o objective I 2 L I 1 ~f e eyepiece 1 2 Compound

More information

Analysis of second-harmonic generation microscopy under refractive index mismatch

Analysis of second-harmonic generation microscopy under refractive index mismatch Vol 16 No 11, November 27 c 27 Chin. Phys. Soc. 19-1963/27/16(11/3285-5 Chinese Physics and IOP Publishing Ltd Analysis of second-harmonic generation microscopy under refractive index mismatch Wang Xiang-Hui(

More information

PS210 - Optical Techniques. Section VI

PS210 - Optical Techniques. Section VI PS210 - Optical Techniques Section VI Section I Light as Waves, Rays and Photons Section II Geometrical Optics & Optical Instrumentation Section III Periodic and Non-Periodic (Aperiodic) Waves Section

More information

Tutorial 7: Solutions

Tutorial 7: Solutions Tutorial 7: Solutions 1. (a) A point source S is a perpendicular distance R away from the centre of a circular hole of radius a in an opaque screen. f the distance to the periphery is (R + l), show that

More information

Aerial image based lens metrology for wafer steppers

Aerial image based lens metrology for wafer steppers Aerial image based lens metrology for wafer steppers Peter Dirksen a, Joseph J.M. Braat b, Augustus J.E.M. Janssen c, Ad Leeuwestein c,tomoyuki Matsuyama d,tomoyanoda d a Philips Research Europe, Belgium

More information

High-Resolution. Transmission. Electron Microscopy

High-Resolution. Transmission. Electron Microscopy Part 4 High-Resolution Transmission Electron Microscopy 186 Significance high-resolution transmission electron microscopy (HRTEM): resolve object details smaller than 1nm (10 9 m) image the interior of

More information

PHY2049 Fall11. Final Exam Solutions (1) 700 N (2) 350 N (3) 810 N (4) 405 N (5) 0 N

PHY2049 Fall11. Final Exam Solutions (1) 700 N (2) 350 N (3) 810 N (4) 405 N (5) 0 N Exam Solutions 1. Three charges form an equilateral triangle of side length d = 2 cm. The top charge is q3 = 3 μc, while the bottom two are q1 = q2 = - 6 μc. What is the magnitude of the net force acting

More information

Waves Part III Electromagnetic waves

Waves Part III Electromagnetic waves Waves Part III Electromagnetic waves Electromagnetic (light) waves Transverse waves Transport energy (and momentum) Can travel through vacuum (!) and certain solids, liquids and gases Do not transport

More information

Lithography Issues in Nano Chip Design and Manufacture

Lithography Issues in Nano Chip Design and Manufacture Lithography Issues in Nano Chip Design and Manufacture Xuan Zeng, Jintao Xue and Wei Cai ASIC & System State Key Lab., Microelectronics Dept., Fudan Univerisity Jan. 7, 2007 Jan. 7, 2007 Challenges and

More information

The Electromagnetic Properties of Materials

The Electromagnetic Properties of Materials The Electromagnetic Properties of Materials Electrical conduction Metals Semiconductors Insulators (dielectrics) Superconductors Magnetic materials Ferromagnetic materials Others Photonic Materials (optical)

More information

Lab Manual: Determination of Planck s constant with x-rays

Lab Manual: Determination of Planck s constant with x-rays Lab Manual: Determination of Planck s constant with x-rays 1. Purpose: To obtain a better understanding on the production of X-rays, the bremsstrahlung radiation and the characteristic radiation of a Molybdenum

More information

NSR-2205i14E (6" Reticle Type)

NSR-2205i14E (6 Reticle Type) Step-and-Repeat System NSR-2205i14E (6" Reticle Type) ACCEPTANCE TEST Nikon Precision Inc. January 9, 1998 JCW 1/98 22i14EAT01 1 NSR-2205i14E ACCEPTANCE TEST ITEMS (6" Reticle Type) No. Item Specification

More information

Chapter 16 Holography

Chapter 16 Holography Chapter 16 Holography Virtually all recording devices for light respond to light intensity. Problem: How to record, and then later reconstruct both the amplitude and phase of an optical wave. [This question

More information

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 21 st Annual BACUS Symposium on Photomask Technology SPIE Vol. 4562, pp. 486-495.

More information

Lecture 4: Diffraction & Spectroscopy

Lecture 4: Diffraction & Spectroscopy Lecture 4: Diffraction & Spectroscopy d θ y L Spectra of atoms reveal the quantum nature of matter Take a plastic grating from the bin as you enter class. Lecture 4, p 1 Today s Topics Single-Slit Diffraction*

More information

Andy Buffler Department of Physics University of Cape Town

Andy Buffler Department of Physics University of Cape Town University of Cape Town Department of Physics PHY014F Vibrations and Waves Part 3 Travelling waves Boundary conditions Sound Interference and diffraction covering (more or less) French Chapters 7 & 8 Andy

More information

The Diffraction Grating

The Diffraction Grating The Diffraction Grating If one extends the double slit to large number of slits very closely spaced, one gets what is called a diffraction grating. d sin θ. Maxima are still at d sin θ m = mλ, m = 0, 1,

More information

sin" =1.22 # D "l =1.22 f# D I: In introduction to molecular electron microscopy - Imaging macromolecular assemblies

sin =1.22 # D l =1.22 f# D I: In introduction to molecular electron microscopy - Imaging macromolecular assemblies I: In introduction to molecular electron microscopy - Imaging macromolecular assemblies Yifan Cheng Department of Biochemistry & Biophysics office: GH-S472D; email: ycheng@ucsf.edu 2/20/2015 - Introduction

More information