SCI. Scientific Computing International. Scientific Computing International. FilmTek. Raising Thin Film Metrology Performance to a New Level

Size: px
Start display at page:

Download "SCI. Scientific Computing International. Scientific Computing International. FilmTek. Raising Thin Film Metrology Performance to a New Level"

Transcription

1 FilmTek Raising Thin Film Metrology Performance to a New Level 1

2 Through Silicon Via (TSV) Metrology FilmTek TM TM TSV TSV Metrology Advantages Measure high aspect ratio TSV structures (up to 30:1) Measure TSV etch depth up to 500μm Measure TSV structures with diameter down to 1μm TSV diameter μm CD, 1σ < 0.2% Via depth μm Via depth, 1σ < 0.005% Film thickness 10nm-350μm, 1σ < 0.005% 300mm fully automatic measurement (Brooks or SCI automation) Measurement time 1-2 seconds per point FilmTek TM TM 2000M 2000M Critical Dimension, Etch Depth, and Film Thickness of TSV Structures 2

3 FilmTek TM 2000M TSV Metrology Optical Etch Etch Depth Measurement of of High Aspect Ratio TSV TSV Structures R Simulated %R Measured %R 80 air Si % Reflection Wavelength (nm) Interference between reflected waves is caused by optical path length difference between the top and bottom surfaces of the TSV structure The spot size must be small (same order as via diameter) and the measurement beam must be nearly collimated to observe interference in the reflected light Power Spectral Density N T (µm) 3

4 FilmTek TM 2000M TSV Metrology Patented FilmTek TM TM Technology Pinhole Slit Spectrometer Controller Variable aperture controls angular spectrum of the incident light Measurement spot size and angular spread is proportional to the ratio of F 1 and F 2 Small measurement spot size is achieved without the use of a high power objective F 2 CCD Camera Objective Variable Aperture Light Source F 1 Sample 7 XYZ Stage 4

5 FilmTek TM 2000M TSV Case Study Comparison of of Via Via Etch Etch Depth by by FilmTek TM TM 2000M and and SEM Via Diameter (µm) Etch Depth (µm) SEM Etch Depth (μm) FilmTek TM 2000M Target via depth 60 μm Excellent agreement between FilmTek TM 2000M and SEM data 5

6 FilmTek TM 2000M Case Study Critical dimension (CD), etch etch depth, and and residual Si Si thickness measurement Via structure and dimensions for MEMS application Residual silicon thickness Via width 87 μm Via depth 140 μm Residual silicon thickness 10 μm 6

7 FilmTek TM 2000M Metrology FilmTek TM TM 2000M Measurement Sequence Top surface image Critical dimension measurement and fit (Cognex) Acquire measurement location Etch depth analysis Bottom surface image Film thickness measurement and fit (Spectroscopic Reflectometry) 7

8 FilmTek TM 2000M TSV Metrology Fully automated TSV measurements provided to SEMI standard SECS/GEM interface Etch Depth Critical Dimension (CD) Film Thickness Y (mm) Critical Critical Dimension (μm) (μm) X (mm) No. of Obs. (%); N = 52 Average = Median = Std. Dev = 1.12 Uniformity = 2.69% Minimum = % = Maximum = % = Etch Etch Depth Depth (μm) (μm) Silicon Silicon Thickness (μm) (μm) Y (mm) Y (mm) X (mm) No of Obs (%) X (mm) No of Obs (%) Average = Median = Std. Dev = 3.35 Q. Range = 4.71 Minimum = % = Maximum = % = Average = Median = Std. Dev = 3.23 Q. Range = 4.60 Minimum = % = 7.71 Maximum = % =

9 FilmTek TM 2000M TSV Case Study Comparison of of FilmTek TM TM 2000M, SEM, and and Zygo Measurements Sample SEM FilmTek TM 2000M Difference Wafer ID Reticle Si thickness Etch depth CD Si thickness Etch depth CD Si thickness Etch depth CD #16 #18 R R R R Sample Zygo NV7300 FilmTek TM 2000M Difference Wafer ID Reticle Si thickness Etch depth Si thickness Etch depth Si thickness Etch depth #16 #18 R45 NA NA R61 NA NA 0.33 R45 NA NA R61 NA NA 0.25 Excellent agreement between FilmTek TM 2000M, SEM, and Zygo data 9

10 FilmTek TM 2000M TSV Metrology FilmTek TM TM 2000M TSV Performance Specifications Measurement Functions Wafer Handling Substrate Size Pattern Recognition Critical Dimension (CD), Etch Depth, Film Thickness Brooks or SCI Automation 200/300mm Cognex CD Precision (1 ) < 0.2% Etch Depth Precision (1 ) < 0.005% Film Thickness Range 10nm 350 μm Film Thickness Precision (1 ) < 0.005% Light Source Reflection Wavelength Range Detector Type Wafer Throughput, 9 sites Halogen Lamp nm 3648 pixel silicon CCD array > 60 WPH FilmTek TM 2000M Critical dimension, etch depth, and film thickness for Through Silicon Via (TSV) metrology 10

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process John C. Lam, Alexander Gray n&k Technology, Inc., Santa Clara, CA ABSTRACT Critical dimension (CD) metrology

More information

Speed of Light in Glass

Speed of Light in Glass Experiment (1) Speed of Light in Glass Objective:- This experiment is used to determine the speed of propagation of light waves in glass. Apparatus:- Prism, spectrometer, Halogen lamp source. Theory:-

More information

Film Thickness Measurement System - Principle and Applications -

Film Thickness Measurement System - Principle and Applications - Film Thickness Measurement System - Principle and Applications - 1 - CONTENTS - 1. Thin Films for Application 2. Film Thickness Measurement Requirements 3. Film Thickness Measurement Methods 4. Comparison

More information

n ( λ ) is observed. Further, the bandgap of the ZnTe semiconductor is

n ( λ ) is observed. Further, the bandgap of the ZnTe semiconductor is Optical Spectroscopy Lennon O Naraigh, 0000 Date of Submission: 0 th May 004 Abstract: This experiment is an exercise in the principles and practice of optical spectroscopy. The continuous emission spectrum

More information

Fast Non-destructive Optical Measurements of Critical Dimension Uniformity and Linearity on AEI and ASI Phase-shift Masks

Fast Non-destructive Optical Measurements of Critical Dimension Uniformity and Linearity on AEI and ASI Phase-shift Masks Fast Non-destructive Optical Measurements of Critical Dimension Uniformity and Linearity on AI and ASI Phase-shift Masks Alexander Gray University of California at Davis, CA John C. Lam n&k Technology,

More information

Full-color Subwavelength Printing with Gapplasmonic

Full-color Subwavelength Printing with Gapplasmonic Supporting information for Full-color Subwavelength Printing with Gapplasmonic Optical Antennas Masashi Miyata, Hideaki Hatada, and Junichi Takahara *,, Graduate School of Engineering, Osaka University,

More information

N M O 0 1 Q P. (26.2)

N M O 0 1 Q P. (26.2) Astronomy 03/403, all 999 6 Lecture, December 999 6 A single vacuum-dielectric interface Practical optical systems always involve lots of vacuum-dielectric interfaces, so we should use the formalism above

More information

Chromeless Phase Lithography (CPL)

Chromeless Phase Lithography (CPL) Chromeless Phase Lithography (CPL) Chromeless Phase Lithography or CPL is a recent development in the area of phase shifting technology that is extending the perceived k 1 limits and has the potential

More information

Astronomy 203 practice final examination

Astronomy 203 practice final examination Astronomy 203 practice final examination Fall 1999 If this were a real, in-class examination, you would be reminded here of the exam rules, which are as follows: You may consult only one page of formulas

More information

Application of imaging ellipsometry: graphene - pinpointing and ellipsometric characterization ULRICH WURSTBAUER CHRISTIAN RÖLING PETER H.

Application of imaging ellipsometry: graphene - pinpointing and ellipsometric characterization ULRICH WURSTBAUER CHRISTIAN RÖLING PETER H. Application of imaging ellipsometry: graphene - pinpointing and ellipsometric characterization ULRICH WURSTBAUER CHRISTIAN RÖLING PETER H. THIESEN Introduction to imaging ellipsometry Ellipsometry in general

More information

Characterisation & Use of Array Spectrometers

Characterisation & Use of Array Spectrometers Characterisation & Use of Array Spectrometers Mike Shaw, Optical Technologies & Scientific Computing Team, National Physical Laboratory, Teddington Middlesex, UK 1 Overview Basic design and features of

More information

Semilab Technologies for 450mm Wafer Metrology

Semilab Technologies for 450mm Wafer Metrology Semilab Technologies for 450mm Wafer Metrology Tibor Pavelka Semilab Semiconductor Physics Laboratory Co. Ltd. Sem iconductorphysics Laboratory Co.Ltd. 1 Outline Short introduction to Semilab Technologies

More information

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur Nova 600 NanoLab Dual beam Focused Ion Beam system @ IITKanpur Dual Beam Nova 600 Nano Lab From FEI company (Dual Beam = SEM + FIB) SEM: The Electron Beam for SEM Field Emission Electron Gun Energy : 500

More information

Overview: Astronomical Spectroscopy

Overview: Astronomical Spectroscopy Overview: Astronomical Spectroscopy or How to Start Thinking Creatively about Measuring the Universe Basic Spectrograph Optics Objective Prism Spectrometers - AESoP Slit Spectrometers Spectrometers for

More information

custom reticle solutions

custom reticle solutions custom reticle solutions 01 special micro structures Pyser Optics has over 60 years experience in producing high quality micro structure products. These products are supplied worldwide to industries including

More information

Infrastructure of Thin Films Laboratory in Institute of Molecular Physics Polish Academy of Sciences

Infrastructure of Thin Films Laboratory in Institute of Molecular Physics Polish Academy of Sciences Infrastructure of Thin Films Laboratory in Institute of Molecular Physics Polish Academy of Sciences Outline Sample preparation Magnetron sputtering Ion-beam sputtering Pulsed laser deposition Electron-beam

More information

Astr 2310 Thurs. March 3, 2016 Today s Topics

Astr 2310 Thurs. March 3, 2016 Today s Topics Astr 2310 Thurs. March 3, 2016 Today s Topics Chapter 6: Telescopes and Detectors Optical Telescopes Simple Optics and Image Formation Resolution and Magnification Invisible Astronomy Ground-based Radio

More information

Model 2300XP PSL & Process-Particle Wafer Deposition System

Model 2300XP PSL & Process-Particle Wafer Deposition System Model 2300XP PSL & Process-Particle Wafer Deposition System Deposit PSL spheres on wafers to create NISTtraceable PSL size standards for - calibrating wafer inspection systems - providing fab-wide and

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION Electroluminescence from a single nanotube-molecule-nanotube junction Christoph W. Marquardt, Sergio Grunder, Alfred Błaszczyk, Simone Dehm, Frank Hennrich, Hilbert v. Löhneysen,

More information

EUV Reflectivity measurements on Acktar Sample Magic Black

EUV Reflectivity measurements on Acktar Sample Magic Black Report EUV Reflectivity measurements on Acktar Sample Magic Black S. Döring, Dr. K. Mann Laser-Laboratorium Göttingen e.v. October 28, 2011 Contents 1 Introduction 3 2 Setup 3 3 Measurements 4 4 Conclusion

More information

Colour Images from Compound Semiconductor Radiation Detectors Chapter 3. Alan Owens

Colour Images from Compound Semiconductor Radiation Detectors Chapter 3. Alan Owens Colour Images from Compound Semiconductor Radiation Detectors Chapter 3 Alan Owens Figure 3.2: Left: a diamond disk saw. Right: a wire saw used for cutting ingots into slices prior to detector preparation.

More information

VASE. J.A. Woollam Co., Inc. Ellipsometry Solutions

VASE. J.A. Woollam Co., Inc. Ellipsometry Solutions VASE J.A. Woollam Co., Inc. Ellipsometry Solutions Accurate Capabilities The VASE is our most accurate and versatile ellipsometer for research on all types of materials: semiconductors, dielectrics, polymers,

More information

MS482 Materials Characterization ( 재료분석 ) Lecture Note 4: XRF

MS482 Materials Characterization ( 재료분석 ) Lecture Note 4: XRF 2016 Fall Semester MS482 Materials Characterization ( 재료분석 ) Lecture Note 4: XRF Byungha Shin Dept. of MSE, KAIST 1 Course Information Syllabus 1. Overview of various characterization techniques (1 lecture)

More information

Gold nanothorns macroporous silicon hybrid structure: a simple and ultrasensitive platform for SERS

Gold nanothorns macroporous silicon hybrid structure: a simple and ultrasensitive platform for SERS Supporting Information Gold nanothorns macroporous silicon hybrid structure: a simple and ultrasensitive platform for SERS Kamran Khajehpour,* a Tim Williams, b,c Laure Bourgeois b,d and Sam Adeloju a

More information

High-Resolution Imagers

High-Resolution Imagers 40 Telescopes and Imagers High-Resolution Imagers High-resolution imagers look at very small fields of view with diffraction-limited angular resolution. As the field is small, intrinsic aberrations are

More information

Analysis of carbon contamination on EUV mask using CSM/ ICS

Analysis of carbon contamination on EUV mask using CSM/ ICS Analysis of carbon contamination on EUV mask using CSM/ ICS Jae Uk Lee 1, Chang Young Jeong 1, Sangsul Lee 1, Jong Gul Doh 1,, Dong Geun Lee 2, Seong-Sue Kim 2, Han-Ku Cho 2, Seung-yu Rah 3 and Jinho Ahn

More information

MEMS Metrology. Prof. Tianhong Cui ME 8254

MEMS Metrology. Prof. Tianhong Cui ME 8254 MEMS Metrology Prof. Tianhong Cui ME 8254 What is metrology? Metrology It is the science of weights and measures Refers primarily to the measurements of length, weight, time, etc. Mensuration- A branch

More information

Pre-lab Quiz/PHYS 224. Your name Lab section

Pre-lab Quiz/PHYS 224. Your name Lab section Pre-lab Quiz/PHYS 224 THE DIFFRACTION GRATING AND THE OPTICAL SPECTRUM Your name Lab section 1. What are the goals of this experiment? 2. If the period of a diffraction grating is d = 1,000 nm, where the

More information

Superlattice Plasmons in Hierarchical Au Nanoparticle Arrays

Superlattice Plasmons in Hierarchical Au Nanoparticle Arrays SUPPLEMENTAL INFORMATION Superlattice Plasmons in Hierarchical Au Nanoparticle Arrays Danqing Wang 1, Ankun Yang 2, Alexander J. Hryn 2, George C. Schatz 1,3 and Teri W. Odom 1,2,3 1 Graduate Program in

More information

A Temporary Bonding and Debonding Technology for TSV Fabrication

A Temporary Bonding and Debonding Technology for TSV Fabrication A Temporary Bonding and Debonding Technology for TSV Fabrication Taku Kawauchi, Masatoshi Shiraishi, Satoshi Okawa, Masahiro Yamamoto Tokyo Electron Ltd, Japan Taku Kawauchi, Tokyo Electron Ltd./Slide

More information

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y T u t o r (Summer 1994) Swing Curves Chris A. Mack, FINLE Technologies, Austin, Texas In the last edition of this column, we saw that exposing a photoresist involves the propagation

More information

XBC300 Gen2. Fully-automated debonder and Cleaner

XBC300 Gen2. Fully-automated debonder and Cleaner XBC300 Gen2 Fully-automated debonder and Cleaner XBC300 Gen2 FULLY AUTOMATED DEBONDER AND CLEANER The SUSS XBC300 Gen2 debonder and cleaner platform is designed for process development as well as high

More information

FUV Grating Performance for the Cosmic Origins Spectrograph

FUV Grating Performance for the Cosmic Origins Spectrograph FUV Grating Performance for the Cosmic Origins Spectrograph Steve Osterman a, Erik Wilkinson a, James C. Green a, Kevin Redman b a Center for Astrophysics and Space Astronomy, University of Colorado, Campus

More information

EUV-Technology with Discharge EUV-Lamp"

EUV-Technology with Discharge EUV-Lamp EUV-Technology with Discharge EUV-Lamp" Rainer Lebert, Larissa Juschkin, Christian Wies, Bernhard Jägle, Manfred Meisen, Ulrich Bieberle, Willi Neff, Juri Barthel, Konstantin Walter, Klaus Bergmann, Fraunhofer

More information

Solar irradiance measurement up to 2500nm with the Arcoptix FT-NIR

Solar irradiance measurement up to 2500nm with the Arcoptix FT-NIR Application note Solar irradiance measurement up to 2500nm with the Arcoptix FT-NIR Introduction Applications that use spectrometers to measure the light energy of radiant sources require an irradiance-calibration,

More information

THIN-FILM MEASUREMENT

THIN-FILM MEASUREMENT ADVANCED THIN-FILM MEASUREMENT SYSTEMS TAKING THE MYSTERY OUT OF THIN-FILM MEASUREMENT ABOUT THIN-FILM MEASUREMENTS THIN-FILM MEASUREMENT Introduction Thin film Thin films are very thin layers of material

More information

Illuminated Reticle Technologies for Rifle Scopes. Illuminated Reticle Technologies for Riflescopes

Illuminated Reticle Technologies for Rifle Scopes. Illuminated Reticle Technologies for Riflescopes Illuminated Reticle Technologies for Rifle Scopes A comparison of the diffraction grating technology with etch-and-fill Illuminated Reticle Technologies for Riflescopes A comparison of the diffraction

More information

Southern African Large Telescope. Prime Focus Imaging Spectrograph. Instrument Acceptance Testing Plan

Southern African Large Telescope. Prime Focus Imaging Spectrograph. Instrument Acceptance Testing Plan Southern African Large Telescope Prime Focus Imaging Spectrograph Instrument Acceptance Testing Plan Eric B. Burgh University of Wisconsin Document Number: SALT-3160AP0003 Revision 1.0 18 February 2003

More information

AS 101: Day Lab #2 Summer Spectroscopy

AS 101: Day Lab #2 Summer Spectroscopy Spectroscopy Goals To see light dispersed into its constituent colors To study how temperature, light intensity, and light color are related To see spectral lines from different elements in emission and

More information

Lecture 0. NC State University

Lecture 0. NC State University Chemistry 736 Lecture 0 Overview NC State University Overview of Spectroscopy Electronic states and energies Transitions between states Absorption and emission Electronic spectroscopy Instrumentation Concepts

More information

Measurement of novel micro bulk defects in semiconductive materials based on Mie scatter

Measurement of novel micro bulk defects in semiconductive materials based on Mie scatter Indian Journal of Pure & Applied Physics Vol. 45, April 2007, pp. 372-376 Measurement of novel micro bulk defects in semiconductive materials based on Mie scatter You Zheng, Li Yingpeng & Chen Jun Department

More information

MICRO-TOMOGRAPHY AND X-RAY ANALYSIS OF GEOLOGICAL SAMPLES

MICRO-TOMOGRAPHY AND X-RAY ANALYSIS OF GEOLOGICAL SAMPLES THE PUBLISHING HOUSE PROCEEDINGS OF THE ROMANIAN ACADEMY, Series A, OF THE ROMANIAN ACADEMY Volume 18, Number 1/2017, pp. 42 49 MICRO-TOMOGRAPHY AND X-RAY ANALYSIS OF GEOLOGICAL SAMPLES Ion GRUIA University

More information

Lecture 9: Introduction to Diffraction of Light

Lecture 9: Introduction to Diffraction of Light Lecture 9: Introduction to Diffraction of Light Lecture aims to explain: 1. Diffraction of waves in everyday life and applications 2. Interference of two one dimensional electromagnetic waves 3. Typical

More information

DUV ( nm ) Characterization of Materials: A new instrument, the Purged UV Spectroscopic Ellipsometer,

DUV ( nm ) Characterization of Materials: A new instrument, the Purged UV Spectroscopic Ellipsometer, WISE 2000, International Workshop on Spectroscopic Ellipsometry, 8 9 May 2000 DUV (150 350nm ) Characterization of Materials: A new instrument, the Purged UV Spectroscopic Ellipsometer, Pierre BOHER,,

More information

Dispersion and resolving power of the prism and grating spectroscope (Item No.: P )

Dispersion and resolving power of the prism and grating spectroscope (Item No.: P ) Dispersion and resolving power of the prism and grating spectroscope (Item No.: P2210300) Curricular Relevance Area of Expertise: Physics Education Level: University Topic: Light and Optics Subtopic: Diffraction

More information

Chapter 35 Diffraction and Polarization. Copyright 2009 Pearson Education, Inc.

Chapter 35 Diffraction and Polarization. Copyright 2009 Pearson Education, Inc. Chapter 35 Diffraction and Polarization 35-1 Diffraction by a Single Slit or Disk If light is a wave, it will diffract around a single slit or obstacle. 35-1 Diffraction by a Single Slit or Disk The resulting

More information

Measurement of EUV scattering from Mo/Si multilayer mirrors

Measurement of EUV scattering from Mo/Si multilayer mirrors Measurement of EUV scattering from Mo/Si multilayer mirrors N. Kandaka, T. Kobayashi, T. Komiya, M. Shiraishi, T. Oshino and K. Murakami Nikon Corp. 3 rd EUVL Symposium Nov. 2-4 2004 (Miyazaki, JAPAN)

More information

Introduction to FT-IR Spectroscopy

Introduction to FT-IR Spectroscopy Introduction to FT-IR Spectroscopy An FT-IR Spectrometer is an instrument which acquires broadband NIR to FIR spectra. Unlike a dispersive instrument, i.e. grating monochromator or spectrograph, an FT-IR

More information

Chapter 35 Diffraction and Polarization

Chapter 35 Diffraction and Polarization Chapter 35 Diffraction and Polarization If light is a wave, it will diffract around a single slit or obstacle. The resulting pattern of light and dark stripes is called a diffraction pattern. This pattern

More information

Multi-technique photoelectron spectrometer for micro-area spectroscopy and imaging

Multi-technique photoelectron spectrometer for micro-area spectroscopy and imaging Multi-technique photoelectron spectrometer for micro-area spectroscopy and imaging U. Manju, M. Sreemony and A. K. Chakraborty In this note we present the new multipurpose photoelectron spectroscopy facility

More information

XRD RAPID SCREENING SYSTEM FOR COMBINATORIAL CHEMISTRY

XRD RAPID SCREENING SYSTEM FOR COMBINATORIAL CHEMISTRY Copyright(c)JCPDS-International Centre for Diffraction Data 2001,Advances in X-ray Analysis,Vol.44 1 XRD RAPID SCREENING SYSTEM FOR COMBINATORIAL CHEMISTRY Bob B. He, John Anzelmo, Peter LaPuma, Uwe Preckwinkel,

More information

Astro 500 A500/L-15 1

Astro 500 A500/L-15 1 Astro 500 A500/L-15 1 Lecture Outline Spectroscopy from a 3D Perspective ü Basics of spectroscopy and spectrographs ü Fundamental challenges of sampling the data cube Approaches and example of available

More information

A normal-incident quantum well infrared photodetector enhanced by surface plasmon resonance

A normal-incident quantum well infrared photodetector enhanced by surface plasmon resonance Best Student Paper Award A normal-incident quantum well infrared photodetector enhanced by surface plasmon resonance Wei Wu a, Alireza Bonakdar, Ryan Gelfand, and Hooman Mohseni Bio-inspired Sensors and

More information

Spectroscopy. Stephen Eikenberry (U. Florida) Dunlap Institute Summer School 26 July 2017

Spectroscopy. Stephen Eikenberry (U. Florida) Dunlap Institute Summer School 26 July 2017 Spectroscopy Stephen Eikenberry (U. Florida) Dunlap Institute Summer School 26 July 2017 Spectroscopy: What is it? How Bright? (our favorite question): Versus position on the sky Versus wavelength/energy

More information

Auger Electron Spectroscopy Overview

Auger Electron Spectroscopy Overview Auger Electron Spectroscopy Overview Also known as: AES, Auger, SAM 1 Auger Electron Spectroscopy E KLL = E K - E L - E L AES Spectra of Cu EdN(E)/dE Auger Electron E N(E) x 5 E KLL Cu MNN Cu LMM E f E

More information

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH High NA the Extension Path of EUV Lithography Dr. Tilmann Heil, Carl Zeiss SMT GmbH Introduction This talk is about resolution. Resolution λ = k 1 NA High-NA NA 0.33 0.4 0.5 0.6 Resolution @ k1=0.3 single

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION doi: 10.1038/nnano.2011.72 Tunable Subradiant Lattice Plasmons by Out-of-plane Dipolar Interactions Wei Zhou and Teri W. Odom Optical measurements. The gold nanoparticle arrays

More information

Electron Microprobe Analysis 1 Nilanjan Chatterjee, Ph.D. Principal Research Scientist

Electron Microprobe Analysis 1 Nilanjan Chatterjee, Ph.D. Principal Research Scientist 12.141 Electron Microprobe Analysis 1 Nilanjan Chatterjee, Ph.D. Principal Research Scientist Massachusetts Institute of Technology Electron Microprobe Facility Department of Earth, Atmospheric and Planetary

More information

Electron Microprobe Analysis 1 Nilanjan Chatterjee, Ph.D. Principal Research Scientist

Electron Microprobe Analysis 1 Nilanjan Chatterjee, Ph.D. Principal Research Scientist 12.141 Electron Microprobe Analysis 1 Nilanjan Chatterjee, Ph.D. Principal Research Scientist Massachusetts Institute of Technology Electron Microprobe Facility Department of Earth, Atmospheric and Planetary

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Lecture 11: Introduction to diffraction of light

Lecture 11: Introduction to diffraction of light Lecture 11: Introduction to diffraction of light Diffraction of waves in everyday life and applications Diffraction in everyday life Diffraction in applications Spectroscopy: physics, chemistry, medicine,

More information

Optical/NIR Spectroscopy A3130. John Wilson Univ of Virginia

Optical/NIR Spectroscopy A3130. John Wilson Univ of Virginia Optical/NIR Spectroscopy A3130 John Wilson Univ of Virginia Topics: Photometry is low resolution spectroscopy Uses of spectroscopy in astronomy Data cubes and dimensionality challenge Spectrograph design

More information

CUSTOM RETICLE SOLUTIONS

CUSTOM RETICLE SOLUTIONS CUSTOM RETICLE SOLUTIONS Special Micro Structures Pyser-SGI has over 60 years experience in producing high quality micro structure products. These products are supplied worldwide to industries including

More information

MELOS 530 MEASURING EQUIPMENT FOR LENSES AND OPTICAL SYSTEMS. Combinations and Accessories. Indispensable for Quality Control of Optics

MELOS 530 MEASURING EQUIPMENT FOR LENSES AND OPTICAL SYSTEMS. Combinations and Accessories. Indispensable for Quality Control of Optics Combinations and Accessories Combinations/ Applications Combination 1 235 601 Combination 2 235 602 neg. focal length Combination 3 235 603 neg. focal length Combination 4 235 604 neg. focal length wedge

More information

Measurement of Optical Constants (n,k) using MProbe

Measurement of Optical Constants (n,k) using MProbe Thin Film Measurement solution Software, sensors, custom development and integration Measurement of Optical Constants (n,k) using MProbe Measurement of spectroscopic reflectance allows determining both

More information

A Hybrid Analysis of Ellipsometry Data from Patterned Structures

A Hybrid Analysis of Ellipsometry Data from Patterned Structures A Hybrid Analysis of Ellipsometry Data from Patterned Structures Wei Kong, Hsu-ting Huang, and Fred L. Terry, Jr. Department of Electrical Engineering and Computer Science, University of Michigan, Ann

More information

F 44 Normal Zeeman Effect

F 44 Normal Zeeman Effect F 44 Normal Zeeman Effect Christina Schwarz Martin-I. Trappe (Dated: February 28, 2006) Abstract We first invesigate the normal Zeeman Effect of the red Cd line emerging from a gas discharge lamp in the

More information

LIBSlab ANALYZERS ANALYZERS

LIBSlab ANALYZERS ANALYZERS ANALYZERS ANALYZERS Chemical multi-elemental analysis with LIBS in modular benchtop design LIBSlab LIBSpector compact sample chamber for the LIBS analysis of solid, liquid and gaseous samples. Sample chamber

More information

RADIATION SOURCES AT SIBERIA-2 STORAGE RING

RADIATION SOURCES AT SIBERIA-2 STORAGE RING RADIATION SOURCES AT SIBERIA-2 STORAGE RING V.N. Korchuganov, N.Yu. Svechnikov, N.V. Smolyakov, S.I. Tomin RRC «Kurchatov Institute», Moscow, Russia Kurchatov Center Synchrotron Radiation undulator undulator

More information

Real Telescopes & Cameras. Stephen Eikenberry 05 October 2017

Real Telescopes & Cameras. Stephen Eikenberry 05 October 2017 Lecture 7: Real Telescopes & Cameras Stephen Eikenberry 05 October 2017 Real Telescopes Research observatories no longer build Newtonian or Parabolic telescopes for optical/ir astronomy Aberrations from

More information

Actinic review of EUV masks: First results from the AIMS EUV system integration

Actinic review of EUV masks: First results from the AIMS EUV system integration Invited Paper Actinic review of EUV masks: First results from the AIMS EUV system integration Markus R. Weiss* a, Dirk Hellweg a, Jan Hendrik Peters b, Sascha Perlitz b, Anthony Garetto b, Michael Goldstein

More information

Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation

Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation N. Brimhall *, J. C. Painter, M. Turner, S. V. Voronov, R. S. Turley, M. Ware, and J. Peatross Department of Physics

More information

Probing the orbital angular momentum of light with a multipoint interferometer

Probing the orbital angular momentum of light with a multipoint interferometer CHAPTER 2 Probing the orbital angular momentum of light with a multipoint interferometer We present an efficient method for probing the orbital angular momentum of optical vortices of arbitrary sizes.

More information

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT Photolithography Evolution 1 : Evolution 2 Photomasks Substrates: Type : thermal expansion Chrome Pellicles Mask: OPC and PSM Fabrication: E-Beam or Laser 3 Photomask Information Websites: http://www.photronics.com/internet/corpcomm/publications/basics101/basics.

More information

1.1 FEATURES OF SPECTROSCOPIC ELLIPSOMETRY

1.1 FEATURES OF SPECTROSCOPIC ELLIPSOMETRY 1 Introduction to Spectroscopic Ellipsometry Because of recent advances in computer technology, the spectroscopic ellipsometry technique has developed rapidly. As a result, the application area of spectroscopic

More information

Dispersion issues for Holospec spectrometers at JET. T.M. Biewer, Oak Ridge National Lab. August 18th, 2008, Culham Science Center

Dispersion issues for Holospec spectrometers at JET. T.M. Biewer, Oak Ridge National Lab. August 18th, 2008, Culham Science Center Dispersion issues for Holospec spectrometers at JET T.M. Biewer, Oak Ridge National Lab. August 18th, 2008, Culham Science Center T.M. Biewer 1 (13) Core Spec Grp Mtg, JET, UK 18 Aug. 2008 Motivation Kaiser

More information

Refraction and Dispersion in Nonlinear Photonic Crystal Superlattices

Refraction and Dispersion in Nonlinear Photonic Crystal Superlattices Refraction and Dispersion in Nonlinear Photonic Crystal Superlattices LEOS 18 th Annual Meeting Sydney, Australia Monday, 24 October 2005 Curtis W. Neff, Tsuyoshi Yamashita and Christopher J. Summers Presented

More information

Nanoscale IR spectroscopy of organic contaminants

Nanoscale IR spectroscopy of organic contaminants The nanoscale spectroscopy company The world leader in nanoscale IR spectroscopy Nanoscale IR spectroscopy of organic contaminants Application note nanoir uniquely and unambiguously identifies organic

More information

Brightness Calibration of Optical Spectrographs

Brightness Calibration of Optical Spectrographs Brightness Calibration of Optical Spectrographs D. Pallamraju 1, J. Baumgardner 1, and R. Doe 2 1 Center for Space Physics, Boston University, MA 2 SRI International, Menlo Park, CA CEDAR, Santa Fe NM.

More information

High Optical Density Photomasks For Large Exposure Applications

High Optical Density Photomasks For Large Exposure Applications High Optical Density Photomasks For Large Exposure Applications Dan Schurz, Warren W. Flack, Makoto Nakamura Ultratech Stepper, Inc. San Jose, CA 95134 Microlithography applications such as advanced packaging,

More information

SOME ASPECTS OF PYROMETRY OF "WHITE" OBJECTS V.E.

SOME ASPECTS OF PYROMETRY OF WHITE OBJECTS V.E. SOME ASPECTS OF PYROMETRY OF "WHITE" OBJECTS V.E. Mosharov, V.N. Radchenko, I.V. Senyuev Central Aerohydrodynamic Institute (TsAGI) 140180 Zhukovsky, Moscow Region, Russia Introduction Model surface temperature

More information

Spectral Calibration of Ultra- High Resolution Volume Holographic Spectrometer. Jeff Bourne Majid Badiei (Advisor)

Spectral Calibration of Ultra- High Resolution Volume Holographic Spectrometer. Jeff Bourne Majid Badiei (Advisor) Spectral Calibration of Ultra- High Resolution Volume Holographic Spectrometer Jeff Bourne Majid Badiei (Advisor) What is a spectrometer? Any device that converts different input wavelengths into different

More information

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Uzodinma Okoroanyanwu, 1 Aiqin Jiang, 2 Kornelia Dittmar, 3 Torsten Fahr, 3 Thomas Laursen, 2 Obert Wood, 1 Kevin Cummings, 2 Christian

More information

Accelerated Neutral Atom Beam Processing of Ultra-thin Membranes to Enhance EUV Transmittance. February 22, 2015

Accelerated Neutral Atom Beam Processing of Ultra-thin Membranes to Enhance EUV Transmittance. February 22, 2015 Accelerated Neutral Atom Beam Processing of Ultra-thin Membranes to Enhance EUV Transmittance February 22, 2015 1 Participation / Contacts Exogenesis Corporation, ANAB Technology Sean Kirkpatrick, Son

More information

Measuring the Redshift of M104 The Sombrero Galaxy

Measuring the Redshift of M104 The Sombrero Galaxy Measuring the Redshift of M104 The Sombrero Galaxy Robert R. MacGregor 1 Rice University Written for Astronomy Laboratory 230 Department of Physics and Astronomy, Rice University May 3, 2004 2 Abstract

More information

2. To measure the emission lines in the hydrogen, helium and possibly other elemental spectra, and compare these to know values.

2. To measure the emission lines in the hydrogen, helium and possibly other elemental spectra, and compare these to know values. 4.1. Purpose 1. To record several elemental emission spectra using arc lamps filled with each element using the Ocean Optics USB650 spectrometer. 2. To measure the emission lines in the hydrogen, helium

More information

Supplementary Figures

Supplementary Figures Supplementary Figures Supplementary Figure S1. The effect of window size. The phonon MFP spectrum of intrinsic c-si (T=300 K) is shown for 7-point, 13-point, and 19-point windows. Increasing the window

More information

Atomic Absorption Spectrometer ZEEnit P series

Atomic Absorption Spectrometer ZEEnit P series Atomic Absorption Spectrometer ZEEnit P series Technical Data ZEEnit series Update 07/2014 OBue 1/ 5 ZEEnit P series Variable high-end AA Spectrometer with Deuterium and Zeeman Background Correction with

More information

Spectroscopy. Stephen Eikenberry (U. Florida) Dunlap Institute Summer School 25 July 2018

Spectroscopy. Stephen Eikenberry (U. Florida) Dunlap Institute Summer School 25 July 2018 Spectroscopy Stephen Eikenberry (U. Florida) Dunlap Institute Summer School 25 July 2018 Observational Astronomy What? Astronomy gathers the vast majority of its information from the LIGHT emitted by astrophysical

More information

EXPOSURE TIME ESTIMATION

EXPOSURE TIME ESTIMATION ASTR 511/O Connell Lec 12 1 EXPOSURE TIME ESTIMATION An essential part of planning any observation is to estimate the total exposure time needed to satisfy your scientific goal. General considerations

More information

Double-Slit Interference

Double-Slit Interference Double-Slit Interference 1. Objectives. The objective of this laboratory is to verify the double-slit interference relationship. 2. Theory. a. When monochromatic, coherent light is incident upon a double

More information

NSR-2205i14E (6" Reticle Type)

NSR-2205i14E (6 Reticle Type) Step-and-Repeat System NSR-2205i14E (6" Reticle Type) ACCEPTANCE TEST Nikon Precision Inc. January 9, 1998 JCW 1/98 22i14EAT01 1 NSR-2205i14E ACCEPTANCE TEST ITEMS (6" Reticle Type) No. Item Specification

More information

PROBLEM OF X-RAY SYNCHROTRON BEAM COLLIMATION BY ZONE PLATE

PROBLEM OF X-RAY SYNCHROTRON BEAM COLLIMATION BY ZONE PLATE PROBLEM OF X-RAY SYNCHROTRON BEAM COLLIMATION BY ZONE PLATE A. Kuyumchyan* a, V. Kohn b, A. Snigirev c, I. Snigireva c, M. Grigorev a, S. Kouznetsov a Institute of Microelectronics Technology, RAS, 443,

More information

Microspectroscopy and imaging in the THz range using coherent CW radiation

Microspectroscopy and imaging in the THz range using coherent CW radiation INSTITUTE OF PHYSICSPUBLISHING Phys. Med. Biol. 47 (2002) 379 3725 PHYSICS INMEDICINE AND BIOLOGY PII: S003-955(02)52386- Microspectroscopy and imaging in the THz range using coherent CW radiation SMair,BGompf

More information

ATR FTIR imaging in forensic science

ATR FTIR imaging in forensic science ATR FTIR imaging in forensic science Application Note Author Sergei G. Kazarian*, Camilla Ricci*, Simon Boyd**, Mustafa Kansiz** * Imperial College UK **Agilent Technologies, Inc. Introduction Conventional

More information

Advances in Infrared Instrumentation

Advances in Infrared Instrumentation Advances in Infrared Instrumentation Dan Jaffe UT Austin Look at the past, present, and future of infrared instrumentation through the lens of high resolution spectroscopy. We are lazy. Most of the gains

More information

RS 1700/1900/2300/2900 High Density Reticle Stockers

RS 1700/1900/2300/2900 High Density Reticle Stockers RS 1700/1900/2300/2900 High Density Reticle Stockers CONTAMINATION CONTROL SOLUTIONS Benefits Secure reticle storage Tec-Cell technology Prolongs the reticle lifetime Fast reticle access time High storage

More information

DAY LABORATORY EXERCISE: SPECTROSCOPY

DAY LABORATORY EXERCISE: SPECTROSCOPY AS101 - Day Laboratory: Spectroscopy Page 1 DAY LABORATORY EXERCISE: SPECTROSCOPY Goals: To see light dispersed into its constituent colors To study how temperature, light intensity, and light color are

More information

1. Give short answers to the following questions. a. What limits the size of a corrected field of view in AO?

1. Give short answers to the following questions. a. What limits the size of a corrected field of view in AO? Astronomy 418/518 final practice exam 1. Give short answers to the following questions. a. What limits the size of a corrected field of view in AO? b. Describe the visibility vs. baseline for a two element,

More information

Ternary blend polymer solar cells with enhanced power conversion efficiency

Ternary blend polymer solar cells with enhanced power conversion efficiency Ternary blend polymer solar cells with enhanced power conversion efficiency Luyao Lu 1, Tao Xu 1, Wei Chen 2,3, Erik S. Landry 2,3, Luping Yu 1 * 1. Department of Chemistry and The James Franck Institute,

More information