Cost of Ownership Considerations for Maskless Lithography

Size: px
Start display at page:

Download "Cost of Ownership Considerations for Maskless Lithography"

Transcription

1 Accelerating the next technology revolution Cost of Ownership Considerations for Maskless Lithography Lloyd C. Litt, SEMATECH Andrea F. Wüest, SEMATECH Copyright 2008 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.

2 Overview Introduction / Motivation COO Modeled Comparisons ML2 Cost Considerations Tool/System requirements for Low COO Conclusions 2

3 Motivation Leading edge litho cost will increase dramatically for the 32 nm half-pitch (hp) node Miniaturization of devices is continuing at the same pace Identify parameters for cost-effective lithography at 32 nm and hp 3

4 Overview 1,000 Wafers/Mask 45 nm 32 nm Excludes Excludes data data prep prep and and additional additional inspection inspection costs. costs. 500% 450% 400% 350% 300% Normalized values to 45 nm SE 250% 200% 150% 100% Reticle Clean Etch Metrology Deposition Litho 50% 0% Technology (wph) 45 nm ArFi SE (125) 32 nm LELE (180) 32 nm Freeze (180) 32 nm Spacer (180) 32 nm HI ArFi SE (120) 32 nm EUVL (50) LELE (200) Freeze (200) Spacer (200) HI LELE (135) EUVL (100) ML2 (50) ML2 (100) 4

5 Overview 5,000 Wafers/Mask 45 nm 32 nm 350% 300% 250% 200% 150% 100% 50% Reticle Clean Etch Metrology Deposition Litho 0% Technology (wph) 45 nm ArFi SE (125) 32 nm LELE (180) 32 nm Freeze (180) 32 nm Spacer (180) 32 nm HI ArFi SE (120) 32 nm EUVL (50) LELE (200) Freeze (200) Spacer (200) HI LELE (135) EUVL (100) ML2 (50) ML2 (100) 5

6 Results - hp (1,000 w/m) 45 nm 500% 450% 400% 350% 300% 250% 200% Reticle Reticle cost cost large large for for low low WPM WPM (70 90 (70 90 %) %) 150% Reticle Clean Etch Metrology Deposition Litho 100% 50% 0% 45 nm ArFi SE (125 wph) LELE (200 wph) Freeze (200 wph) Spacer (200 wph) HI LELE (135 wph) EUVL (100 wph) ML2 cluster (50 wph) ML2 cluster (100 wph) Technology (wph) 6

7 Results - hp (5,000 w/m) 45 nm 350% 300% 250% Defect inspection cost is a variable for ML2 200% 150% 100% Reticle Clean Etch Metrology Deposition Litho 50% Technology (wph) 0% 45 nm ArFi SE (125 wph) LELE (200 wph) Freeze (200 wph) Spacer (200 wph) HI LELE (135 wph) EUVL (100 wph) ML2 cluster (50 wph) ML2 cluster (100 wph) 7

8 ML2 Cost of Ownership Because some of the cost reduction is due to mask cost savings, the attractiveness of ML2 technology is highly dependant on the type and volume of production under consideration. A factory with a diverse mix of low volume products will potentially see a significant reduction in mask costs if ML2 is used. However, very large volume producers of a small number of parts (mainstream microprocessors, SRAM, DRAM, etc.) may not realize a large enough savings to consider ML2. 8 SEMATECH CONFIDENTIAL L. Litt

9 ML2 Cost of Ownership There are potential applications of ML2 within a large volume manufacturing operation that have some real value. Prototyping and design verification Reduce initial device product learning Reduce mask respin orders to correct design errors 9 SEMATECH CONFIDENTIAL L. Litt

10 ML2 Cost of Ownership Most ML2 cost-of-ownership assessments center on the potential savings of removing mask/reticle costs from the cost equation. Mask costs can be considerable and these savings are real But other aspects of the technology may quickly reduce these savings 10 SEMATECH CONFIDENTIAL L. Litt

11 Process COO Implications of ML2 *Relative costs approximated by size scale of boxes Prep Write Process RETICLE Inspect Expose Process Inspect WAFER 11

12 Mask Fabrication Cost Much of the cost of mask fabrication is from mask inspection. A significant portion of this cost category is likely to be transferred to the wafer fab in an ML2 implementation. This reduces the savings from removing the mask from the ML2 COO assessment. 12

13 ML2 COO Considerations Transfer of costs from mask fab to wafer fab Mask-based lithography relies on known-good masks. Each mask is inspected and verified for defects, CD, IP, etc. ML2 will not have known-good starting material. Additional inspection is needed in a wafer fab unless high confidence in writing quality. 13

14 Defect Inspection Sensitivity Analysis 5,000 Wafers per Mask Normalized cost per wafer (to 45 nm hp ArFi SE) 350% 325% 300% 275% 250% 225% 200% 175% 150% 125% 100% 75% 50% 25% 0% LELE (200 wph) EUV (100 wph) 45 nm ArFi SE (125 wph) 0% 20% 40% 60% 80% 100% Inspected wafer area Cross over for ML2 cluster (50 wph) with EUV NO cross over for ML2 cluster (100 wph) with EUV) 5,000 wpm 2 wafer/lot, 50% area Every 5 th th lot 2 wafer/lot, Every 5 th th lot 2 wafer/lot, Every lot 10 wafer/lot, Every lot 20 wafer/lot, Every lot 25 wafer/lot, Every lot 14

15 Defect Inspection Sensitivity Analysis Effective defect inspection throughput Throughput (wph) inspection tool supports 50 wph ML2 cluster Multiple Inspection tools required 10 inspection tools required (50 wph) ML2 cluster 100 wph ML2 cluster 50 wph 0 0% 5% 10% 15% 20% 25% 30% 35% 40% Inspected wafer area 2 wafer/lot, 50% area Every 5 th th lot 2 wafer/lot, Every 2 nd nd lot 2 wafer/lot, Every lot 5 wafer/lot, Every lot 10wafer/lot, Every lot 0.2 inspection tools required to meet 50 wph 15

16 ML2 COO Considerations Error rate Mask writer error rate is low but ML2 includes??,000x beams writing??,000x wafers(area) with addition of cross talk interactions. 1 mask writer = 150x150mm * 3/day = 67.5K mm 2 /day 1 wafer writer = 3.14*150 2 * 10wph * 24 = 17000K mm 2 /day 250X more area written per day. 16

17 Technical Requirements for Low COO Obvious Requirements Low capital cost, footprint, operating costs High throughput Other Issues Consumables Component reliability and costs Built-in quality checks to minimize inspection In situ beam and data transfer verification ability to verify data from memory actually written at tool. (proportional to inspection requirements) 17

18 Conclusions 100 wph cluster is approximately equivalent to conventional litho costs not including mask. Mask cost transfer Inspection costs cannot be neglected and may lead to additional inspection capacity required and thus less potential savings. Data prep can reduce effective throughput. Inspection capability needed is not currently available. Tool design must address key issues to realize low COO. 18

19 Acknowledgements Greg Hughes, Frank Goodwin, Dennis Fandel, Jackie Ferrelll, Jacque Georger, Chawon Koh, Bob Rulliffson, Phil Seidel, Larry Smith, Robert Wright (SEMATECH) Andrew J. Hazelton (Nikon), Will Conley (Freescale), Rob Crowell (TEL),Hiroyuki Mizuno (Toshiba), Nick Stacey (Molecular Imprints), Obert Wood (AMD) Céline Lapeyre (CEA-LETI Minatec), Gary Zhang (Rohm and Haas) 19

20 Backup Slides 20

21 Defect Inspection Sensitivity Analysis 1,000 Wafers per Mask 500% Normalized cost per wafer (to 45 nm hp ArFi SE) 450% 400% 350% 300% 250% 200% 150% 100% 50% 0% 45 nm ArFi SE (125 wph) 0% 20% 40% 60% 80% 100% Inspected wafer area LELE (200 wph) EUV (100 wph) 1,000 wpm NO cross over for ML2 cluster (50 wph) NO cross over for ML2 cluster (100 wph) 2 wafer/lot, 50% area Every 5 th th lot 2 wafer/lot, Every 5 th th lot 2 wafer/lot, Every lot 10 wafer/lot, Every lot 20 wafer/lot, Every lot 25 wafer/lot, Every lot 21

22 Candidate Technologies hp : LELE, Freeze, Spacer High-index : LELE EUVL ML2 SE: Single Exposure, DPL: Double Patterning LELE: Litho-Etch-Litho-Etch 22

23 Process Flows (schematic) Deposit hardmask(s) Coat, expose, develop ArFi SE Etch hardmask, Strip resist Coat, expose, develop DPL LELE (Line) Freeze Freeze resist Coat, expose, develop Etch hardmask Deposit spacer, Etch back spacer Remove hardmask lines Coat, expose, develop (cut mask) Spacer EUV ML2 e-beam write For all flows at end: Etch hardmask, Strip resist, Etch pattern, Strip hardmask 23

24 Assumptions All technologies are equally reliable and support equal yield. All technologies meet manufacturing requirements. Double Patterning Stepper overlay Mask registration Mask yield (with 30 hour write time) Yield even though with more processing steps EUVL Defect-free masks Source power, tool transmission, and resist sensitivity enable throughput Tool reliability supports uptime Mask and optics meet lifetime requirements Maskless??? 24

25 Calculation Procedure $ / yr General ( C fixed + Crecur ) COO = + Cmaterials + C T U Y other $ / wafer wafer / h h / yr $ / wafer $ / wafer C fixed Depreciation, Floor space ($/yr) C materials Resist, etc. ($/wafer) C recur Utilities, Consumables, Labor ($/yr) C other Other ($/wafer) T Throughput (wafer / h) U Utilization (%) Y Yield (%) 25

26 Calculation Procedure Linear relationship Lithography ( C fixed + Crecur ) COO = + Cresist + T U Y C N reticle wpr Inversely proportional: large effect C fixed Depreciation, Floor space ($/yr) C resist Resist, etc. ($/wafer) C recur T Utilities, Consumables, Labor ($/yr) Throughput (wafer / h) C reticle N wpr Reticle ($) Wafer / reticle U Y Utilization (%) Yield (%) 26

27 Calculation Procedure Calculate COO for each process step Litho Deposition Etch Metrology Clean Process flow # of different process steps Total COO = Σ (Process Cost #Process Steps) Only one critical layer calculated Normalized to 45 nm ArFi SE 27

28 Model Parameters 45 nm hp 32 nm hp ArFi SE HI ArFi EUVL LELE Freeze Spacer Tool Cost $40M $49M $49M $49M $50M $54M Throughput / wph Tool Cost / TPT (M$/wph) Reticle Cost $200k $584k $584k $466K $396k $178K 45 nm hp hp ArFi SE HI EUVL ML2 LELE Freeze Spacer LELE (cluster) Tool Cost $40M $52M $52M $52M $53M $89M $50M $50M Throughput / wph Tool Cost / TPT (M$/wph) Reticle Cost $200k $1176K $1176K $752K $1176K $252K N/A N/A Tool cost based on historical extrapolation, scales with throughput and resolution ML2 tool cost independent of throughput 28

29 Model Parameters Reticle cost (SEMATECH model, G. Hughes) Mask Cost = [ (Capital Cost term Write Time) + Material Cost ] / Yield 2.5 data growth per node for optical 2 data growth for EUV and Imprint Mask yield based on ITRS difficulty 45 nm: 70% EUVL: 77% (Yield targets looser) DPL: 63% (Mask registration tighter) Imprint: 54% (Defect specs much tighter, minimum feature 1 ). Utilization fixed at 83%, yield at 98% for all technologies Non-litho process costs based on SEMATECH data ~ 250 total parameters (~ 25 per litho technology) 29

30 Error Estimation (20,000 Wafers/Mask) 300% 300% 250% 250% 200% 200% 10 % error on parameters ~15 % error on COO (U and Y kept constant) 150% 150% 100% 100% 50% 50% 0% 45 nm ArFi SE (125) 32 nm LELE (180) 32 nm Freeze (180) 32 nm Spacer (180) 32 nm HI ArFi SE (120) 32 nm EUVL (50) LELE (200) Freeze (200) Spacer (200) HI LELE (135) EUVL (100) 0% 30

31 Results - 32 nm hp (20,000 w/m) 45 nm 32 nm 200% 200% DPL most expensive because of reticle, 160% deposition, and etch costs 140% DPL most expensive 180% 120% 120% 100% 100% 80% 80% 60% 60% Reticle Clean Etch Reticle Clean Etch Metrology Deposition Litho Metrology Deposition Litho 40% 40% 20% 20% 0% Technology (wph) 0% 45 nm ArFi SE (125 wph) ArFi SE (125) 32 nm LELE (180 wph) (180) 32 nm Freeze (180 wph) Freeze (180) 32 nm Spacer (180 wph) Spacer (180) 32 nm HI ArFi SE (120 wph) HI ArFi SE (120) 32 nm EUVL (50 wph) EUVL (50) High EUV litho cost because of capital cost 31

32 Results - hp (20,000 w/m) 45 nm 250% 200% High reticle costs for DPL Cost advantage of EUVL 150% 150% 100% 100% Reticle Clean Etch Metrology Metrology Deposition Deposition Litho Litho 50% 50% 0% 0% Technology (wph) 45 nm ArFi SE (125 wph) ArFi SE (125) LELE (200 wph) LELE (200) Freeze (200 wph) Freeze (200) Spacer (200 wph) Spacer (200) HI HI ArFi LELE (135 wph) DPL LELE (135) EUVL (100 wph) EUVL (100) 32

Cost Implications of EUV Lithography Technology Decisions

Cost Implications of EUV Lithography Technology Decisions Accelerating the next technology revolution Cost Implications of EUV Lithography Technology Decisions Andrea F. Wüest, SEMATECH Andrew J. Hazelton, Nikon Corporation Greg Hughes, SEMATECH Lloyd C. Litt,

More information

Overview of EUV Lithography and EUV Optics Contamination

Overview of EUV Lithography and EUV Optics Contamination Accelerating the next technology revolution Overview of EUV Lithography and EUV Optics Contamination Andrea Wüest NIST Contamination WS Gaithersburg, MD June 2, 2009 Copyright 2008 SEMATECH, Inc. SEMATECH,

More information

SEMATECH Knowledge Series 2010

SEMATECH Knowledge Series 2010 SEMATECH Knowledge Series 2010 Summary of the SEMATECH Workshop on Directed Self Assembly Lloyd Litt SEMATECH/GF Bill Hinsberg - IBM 20 October 2010 Kobe, Japan Copyright 2010 Advanced Materials Research

More information

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC The Impact of EUV on the Semiconductor Supply Chain Scotten W. Jones President IC Knowledge LLC sjones@icknowledge.com Outline Why EUV Who needs EUV EUV adoption roadmaps EUV wafer volume projections Impact

More information

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Advanced Materials Research Center, AMRC, International SEMATECH Manufacturing Initiative, and

More information

EUVL Readiness for High Volume Manufacturing

EUVL Readiness for High Volume Manufacturing EUVL Readiness for High Volume Manufacturing Britt Turkot Intel Corporation Outline Exposure Tool Progress Power Availability Intel demo results Reticle Defectivity Pellicle Materials Conclusion 2 Source

More information

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial Marks for EUV mask blanks Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial marks are laser scribed on 200 mm wafers to enable defect registration on metrology

More information

Evolution of Optical Lithography towards 22nm and beyond Donis Flagello

Evolution of Optical Lithography towards 22nm and beyond Donis Flagello Evolution of Optical Lithography towards 22nm and beyond Donis Flagello Slide 1 ArF water immersion lithography cannot image 32 nm HP in SE 200 Resolution, Shrink (nm) 100 80 60 40 30 AT:1200 Logic NAND

More information

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond Christof Krautschik Technology & Manufacturing Group External Programs Intel, Santa Clara, CA October

More information

Chromeless Phase Lithography (CPL)

Chromeless Phase Lithography (CPL) Chromeless Phase Lithography (CPL) Chromeless Phase Lithography or CPL is a recent development in the area of phase shifting technology that is extending the perceived k 1 limits and has the potential

More information

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure A. Abdo, ab L. Capodieci, a I. Lalovic, a and R. Engelstad b a Advanced

More information

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML Double patterning for 32nm and below: an update Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML Mireille Maenhoudt, Shaunee Cheng, Tom Vandeweyer IMEC / Slide 1

More information

Impact of Pellicle on Overlay in Double Patterning Lithography

Impact of Pellicle on Overlay in Double Patterning Lithography Impact of Pellicle on Overlay in Double Patterning Lithography Oliver Loeffler 1, Frank Laske 2, Michael Ferber 2, Klaus-Dieter Roeth 2, Lin Chua 3, You Seung Jin 3, Gino Marcuccilli 3, Venkat Nagaswami

More information

EUV Lithography Towards Industrialization

EUV Lithography Towards Industrialization EUV Lithography Towards Industrialization Wim van der Zande, Director of Research, ASML Dublin Meeting November 2014 Slide 2 Agenda EUV benefit and status at customers Towards higher productivity Summary

More information

Critical Dimension Uniformity using Reticle Inspection Tool

Critical Dimension Uniformity using Reticle Inspection Tool Critical Dimension Uniformity using Reticle Inspection Tool b Mark Wylie, b Trent Hutchinson, b Gang Pan, b Thomas Vavul, b John Miller, b Aditya Dayal, b Carl Hess a Mike Green, a Shad Hedges, a Dan Chalom,

More information

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing Britt Turkot Intel Corporation Outline Milestone Progress Exposure Tool Reticle Pellicle Infrastructure HVM Considerations

More information

Progress on ASML s EUV Alpha Demo Tool

Progress on ASML s EUV Alpha Demo Tool Progress on ASML s EUV Alpha Demo Tool Noreen Harned 1, Peter Kuerz 3, Hans Meiling 2, Bas Mertens 5, Gregor van Baars 4 3rd International EUVL Symposium 2 November 2004 1 ASML, Wilton, CT; 2 ASML, Veldhoven,

More information

Double patterning for 32nm and below: an update. ASML US Inc, 4211 Burton Dr. Santa Clara, CA, USA. IMEC vzw, Kapeldreef 75, B-3001 Heverlee, Belgium;

Double patterning for 32nm and below: an update. ASML US Inc, 4211 Burton Dr. Santa Clara, CA, USA. IMEC vzw, Kapeldreef 75, B-3001 Heverlee, Belgium; Double patterning for 32nm and below: an update Jo Finders (a), Mircea Dusa (b) Bert Vleeming (a) Henry Megens (a) Birgitt Hepp (a) Mireille Maenhoudt (c), Shaunee Cheng (c), Tom Vandeweyer (c) (a) ASML,

More information

Actinic review of EUV masks: First results from the AIMS EUV system integration

Actinic review of EUV masks: First results from the AIMS EUV system integration Invited Paper Actinic review of EUV masks: First results from the AIMS EUV system integration Markus R. Weiss* a, Dirk Hellweg a, Jan Hendrik Peters b, Sascha Perlitz b, Anthony Garetto b, Michael Goldstein

More information

EUV Lithography Status and Key Challenges for HVM Implementation

EUV Lithography Status and Key Challenges for HVM Implementation EUV Lithography Status and Key Challenges for HVM Implementation Sam Intel Corporation Moore s Law at Intel 10 Feature Size (um) 100 Cell Area (sq um) 1 10 0.5x every 2 years 0.1 1 0.01 1970 1980 1990

More information

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device Hyung-Seop Kim, Yong Min Cho, Byoung-Ho Lee Semiconductor R&D Center, Device Solution Business, Samsung

More information

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH High NA the Extension Path of EUV Lithography Dr. Tilmann Heil, Carl Zeiss SMT GmbH Introduction This talk is about resolution. Resolution λ = k 1 NA High-NA NA 0.33 0.4 0.5 0.6 Resolution @ k1=0.3 single

More information

Yield. Economics. Victor Ovchinnikov. Chapters 36, 37

Yield. Economics. Victor Ovchinnikov. Chapters 36, 37 Yield. Economics Victor Ovchinnikov Chapters 36, 37 Previous material CMOS Released structural layers MEMS Multilayer metallization Aalto Nanofab, 2017 Microfabrication 2 Content Yield definition Yield

More information

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 ASML NXE Pellicle progress update Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 Contents Slide 2 Introduction: a look back at 2015 NXE Pellicle update Pellicle film development NXE Scanner

More information

Lecture 14 Advanced Photolithography

Lecture 14 Advanced Photolithography Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes

More information

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA EUV Litho, June 15 th, 2016 Luc Girard 1, Lou Marchetti 1, Jim Kennon 2, Bob Kestner 2, Regina Soufli 3, Eric Gullickson 4 1 Zygo Corporation,

More information

Sensors and Metrology. Outline

Sensors and Metrology. Outline Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

Litho scenario solutions for FinFET SRAM 22nm node

Litho scenario solutions for FinFET SRAM 22nm node See discussions, stats, and author profiles for this publication at: https://www.researchgate.net/publication/51695 Litho scenario solutions for FinFET SRAM nm node ARTICLE in PROCEEDINGS OF SPIE - THE

More information

EUV lithography industrialization for HVM

EUV lithography industrialization for HVM EUV lithography industrialization for HVM Michael Lercel Director, Strategic Marketing, Tokyo Outline Slide 2 NXE Roadmap NXE:3400B performance Reticle front-side defectivity EUV source roadmap EUV extendibility

More information

Towards 3nm overlay and critical dimension uniformity: an integrated error budget for double patterning lithography

Towards 3nm overlay and critical dimension uniformity: an integrated error budget for double patterning lithography Towards 3nm overlay and critical dimension uniformity: an integrated error budget for double patterning lithography Bill Arnold SPIE Advanced Lithography Optical SPIE 69-3 / Slide Outline Double patterning

More information

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM U.S. -KOREA Forums on Nanotechnology 1 CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM February 17 th 2005 Eung-Sug Lee,Jun-Ho Jeong Korea Institute of Machinery & Materials U.S. -KOREA Forums

More information

Competitive Semiconductor Manufacturing

Competitive Semiconductor Manufacturing Competitive Semiconductor Manufacturing Prof. Robert C. Leachman Director, Competitive Semiconductor Manufacturing Program University of California at Berkeley Jan 16, 2007 Jan. 16, 2007 RCL - CSM Findings

More information

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems Metrology, 61 Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems Manish Chandhok,, Sang H. Lee, Christof Krautschik, Guojing Zhang, Bryan

More information

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by. PROCEEDINGS OF SPIE Alternative Lithographic Technologies VII Douglas J. Resnick Christopher Bencher Editors 23-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by DNS

More information

Status of EUV Sources for Mask Metrology

Status of EUV Sources for Mask Metrology Status of EUV Sources for Mask Metrology Vivek Bakshi, Ph.D. EUV Litho Inc. 10202 Womack Road, Austin, TX 78748 USA www.euvlitho.com vivek.bakshi@euvlitho.com Outline Background Current Technology Status

More information

VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING

VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING Future ICs will use more 3D device structures such as finfets and gate-all-around (GAA) transistors, and so vacuum deposition processes are needed that

More information

Figure 1 below shows the generic process flow of an LELE method of double patterning.

Figure 1 below shows the generic process flow of an LELE method of double patterning. Multilayer Double Patterning MCEE 505/605 LM&P Rajiv Sejpal (585) 622-8081 rns4256@rit.edu Dept. of Microelectronics Engineering, Rochester Institute of Technology GOAL Due to the delay in next generation

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 5 MICRO AND NANOPROCESSING TECHNOLOGIES Introduction Ion lithography X-ray lithography Soft lithography E-beam lithography Concepts and processes Lithography systems Masks and resists Chapt.9.

More information

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography February 26, 2009 Shinji Tarutani FUJIFILM Corporation Research & Development Management Headquarters Electronic Materials Research

More information

Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry

Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry 1 Kin Wai Tang, 1 Teng Hwee Ng, 1 Lei Huang, 1 Susan Ng, 1 Thomas Ku, 2 Wee Teck Chia, 2 Lin

More information

Optimized Stepping for Fan-out Wafer and Panel Packaging P.10

Optimized Stepping for Fan-out Wafer and Panel Packaging P.10 SEMICONDUCTORS PACKAGING MEMS LEDS DISPLAYS JUNE 2018 Material Innovations for Advancements in Fan-out Packaging P. 14 Void Control in Die Attach P. 20 Patterned Wafer Geometry Grouping for Improved Overlay

More information

Analysis of carbon contamination on EUV mask using CSM/ ICS

Analysis of carbon contamination on EUV mask using CSM/ ICS Analysis of carbon contamination on EUV mask using CSM/ ICS Jae Uk Lee 1, Chang Young Jeong 1, Sangsul Lee 1, Jong Gul Doh 1,, Dong Geun Lee 2, Seong-Sue Kim 2, Han-Ku Cho 2, Seung-yu Rah 3 and Jinho Ahn

More information

RS 1700/1900/2300/2900 High Density Reticle Stockers

RS 1700/1900/2300/2900 High Density Reticle Stockers RS 1700/1900/2300/2900 High Density Reticle Stockers CONTAMINATION CONTROL SOLUTIONS Benefits Secure reticle storage Tec-Cell technology Prolongs the reticle lifetime Fast reticle access time High storage

More information

Nanoimprint Lithography

Nanoimprint Lithography Nanoimprint Lithography Wei Wu Quantum Science Research Advanced Studies HP Labs, Hewlett-Packard Email: wei.wu@hp.com Outline Background Nanoimprint lithography Thermal based UV-based Applications based

More information

A Reticle Correction Technique to Minimize Lens Distortion Effects

A Reticle Correction Technique to Minimize Lens Distortion Effects A Reticle Correction Technique to Minimize Lens Distortion Effects Warren W. Flack, Gary E. Flores, Alan Walther and Manny Ferreira Ultratech Stepper, Inc. San Jose, CA 95134 Mix-and-match lithography

More information

The study for image placement repeatability of EUV mask on the flat chuck

The study for image placement repeatability of EUV mask on the flat chuck The study for image placement repeatability of EUV mask on the flat chuck Shusuke Yoshitake, Hitoshi Sunaoshi, Shuichi Tamamushi, Soichiro Mitsui 1, Munehiro Ogasawara 1, Takeyuki Yamada 2, Tsutomu Shoki

More information

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance 1 Seminar Spacer Lithography for Reduced Variability in MOSFET Performance Prof. Tsu-Jae King Liu Electrical Engineering & Computer Sciences Dept. University of California at Berkeley Graduate Student:

More information

Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X

Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X Scattering Ronald L. Jones, Wen-li Wu, Eric K. Lin NIST Polymers Division, Gaithersburg,

More information

SHRINK. STACK. INTEGRATE.

SHRINK. STACK. INTEGRATE. SHRINK. STACK. INTEGRATE. SUSS MICROTEC PRODUCT PORTFOLIO SHAPING THE FUTURE With more than 60 years of engineering experience SUSS MicroTec is a leading supplier of process equipment for microstructuring

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction q Integrated circuits: many transistors on one chip q Very Large Scale Integration (VLSI): bucketloads! q Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

EUVL for HVM: Progress Update

EUVL for HVM: Progress Update EUVL for HVM: Progress Update Mark Phillips Intel Corporation EUVL Workshop, Maui, Hawaii, 17 June 2015, Mark Phillips (Intel) Outline EUV source and system performance EUV/193i complementary patterning

More information

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center Functional Materials for Advanced Patterning Robert D. Allen Business Unit or Product Name IBM Almaden Research Center 2003 IBM Corporation Resists/Materials for Advanced Patterning Trends in Lithography

More information

Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation

Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation Masaya Kato a, Hideki Inuzuka a, Takeshi Kosuge a, Shingo Yoshikawa a, Koichi Kanno a, Hidemichi

More information

A Cost and Yield Analysis of Wafer-to-wafer Bonding. Amy Palesko SavanSys Solutions LLC

A Cost and Yield Analysis of Wafer-to-wafer Bonding. Amy Palesko SavanSys Solutions LLC A Cost and Yield Analysis of Wafer-to-wafer Bonding Amy Palesko amyp@savansys.com SavanSys Solutions LLC Introduction When a product requires the bonding of two wafers or die, there are a number of methods

More information

IEUVI Mask Technical Working Group

IEUVI Mask Technical Working Group IEUVI Mask Technical Working Group Proposed changes P37/P38/P40 SEMI standards Thursday, Feb 28, 2008 / Slide 1 Introduction All of the recommendations are driven by the

More information

Thermal Expansion property and Surface Finish capability of CLEARCERAM -Z series for EUVL Photomask Substrate Application

Thermal Expansion property and Surface Finish capability of CLEARCERAM -Z series for EUVL Photomask Substrate Application Thermal Expansion property and Surface Finish capability of CLEARCERAM -Z series for EUVL Photomask Substrate Application Kousuke Nakajima, Toshihide Nakajima, Yoshiyuki Owari OHARA Incorporated 3 rd International

More information

EUREKA: A new Industry EUV Research Center at LBNL

EUREKA: A new Industry EUV Research Center at LBNL EUREKA: A new Industry EUV Research Center at LBNL Patrick Naulleau Center for X-ray Optics Lawrence Berkeley National Laboratory Berkeley Lab MSD Materials Sciences Division 1 Operating model Core operational

More information

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press Errata, by Chris Mack, chris@lithoguru.com While teaching out of this book at the University

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

ASML Approach to Euv Reticle Handling

ASML Approach to Euv Reticle Handling ASML Approach to Euv Reticle Handling Mask Workshop Antwerp Henk Meijer 3-October-2003 / Slide 1 Presentation Agenda Unique features of EUV reticles Contamination

More information

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning SEMI Texas Spring Forum May 21, 2013 Austin, Texas Author / Company / Division / Rev. / Date A smartphone today has

More information

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine Content EUV lithography: History and status EUV sources- historical perspective: Age of choice Age of Xe Age of Sn Age

More information

Thermo Scientific ICP-MS solutions for the semiconductor industry. Maximize wafer yields with ultralow elemental detection in chemicals and materials

Thermo Scientific ICP-MS solutions for the semiconductor industry. Maximize wafer yields with ultralow elemental detection in chemicals and materials Thermo Scientific ICP-MS solutions for the semiconductor industry Maximize wafer yields with ultralow elemental detection in chemicals and materials Trace impurity analysis in chemicals an used in the

More information

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography 1 Reference 1. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (2001) 2. - (2004) 3. Semiconductor Physics and Devices-

More information

193 nm STEP AND SCAN LITHOGRAPHY

193 nm STEP AND SCAN LITHOGRAPHY 193 nm STEP AND SCAN LITHOGRAPHY Guy Davies, Judon Stoeldraijer, Barbra Heskamp, Jan Mulkens, Joost Sytsma, Hans Bakker ASML BV, De Run 111, 553 LA Veldhoven, The Netherlands Holger Glatzel, Christian

More information

MSN551 LITHOGRAPHY II

MSN551 LITHOGRAPHY II MSN551 Introduction to Micro and Nano Fabrication LITHOGRAPHY II E-Beam, Focused Ion Beam and Soft Lithography Why need electron beam lithography? Smaller features are required By electronics industry:

More information

Analysis of the Photodegradation at 157 nm of Photolithographic-Grade Teflon AF Pellicles

Analysis of the Photodegradation at 157 nm of Photolithographic-Grade Teflon AF Pellicles Analysis of the Photodegradation at 157 nm of Photolithographic-Grade Teflon A Pellicles Idriss Blakey, Graeme A. George,David J. T. Hill, Heping Liu, iras Rasoul, Llew Rintoul, Andrew K. Whittaker, Paul

More information

Optical Proximity Correction

Optical Proximity Correction Optical Proximity Correction Mask Wafer *Auxiliary features added on mask 1 Overlay Errors + + alignment mask wafer + + photomask plate Alignment marks from previous masking level 2 (1) Thermal run-in/run-out

More information

Development status of back-end process for UV-NIL template fabrication

Development status of back-end process for UV-NIL template fabrication Development status of back-end process for UV-NIL template fabrication Yuichi Inazuki, Kimio Itoh, Sho Hatakeyama, Kouichirou Kojima, Masaaki Kurihara, Yasutaka Morikawa, Hiroshi Mohri and Naoya Hayashi

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

RS-C Flexible Reticle Stocker

RS-C Flexible Reticle Stocker RS-C Flexible Reticle Stocker CONTAMINATION CONTROL SOLUTIONS Benefits Secure reticle storage Tec-Cell technology Prolongs the reticle lifetime Fast reticle access time Full Flexibility The RS-C is a fully

More information

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Manish Chandhok, a Heidi Cao, a Wang Yueh, a Eric Gullikson, b Robert Brainard, c Stewart Robertson c a Intel Corporation,

More information

Resist-outgas testing and EUV optics contamination at NIST

Resist-outgas testing and EUV optics contamination at NIST 1 2012 International Workshop on EUVL, Maui, HI Resist-outgas testing and EUV optics contamination at NIST Shannon Hill, Nadir Faradzhev, Charles Tarrio, Steve Grantham, Lee Richter and Tom Lucatorto National

More information

Mask Characterization for Double Patterning Lithography

Mask Characterization for Double Patterning Lithography Mask Characterization for Double Patterning Lithography Karsten Bubke 1, Eric Cotte 1, Jan Hendrik Peters 1, Robert de Kruif, Mircea Dusa 3, Joerg Fochler 4, Brid Connolly 4 1 Advanced Mask Technology

More information

NSR-2205i14E (6" Reticle Type)

NSR-2205i14E (6 Reticle Type) Step-and-Repeat System NSR-2205i14E (6" Reticle Type) ACCEPTANCE TEST Nikon Precision Inc. January 9, 1998 JCW 1/98 22i14EAT01 1 NSR-2205i14E ACCEPTANCE TEST ITEMS (6" Reticle Type) No. Item Specification

More information

Lecture 8. Photoresists and Non-optical Lithography

Lecture 8. Photoresists and Non-optical Lithography Lecture 8 Photoresists and Non-optical Lithography Reading: Chapters 8 and 9 and notes derived from a HIGHLY recommended book by Chris Mack, Fundamental Principles of Optical Lithography. Any serious student

More information

TECHNOLOGY ROADMAP METROLOGY 2013 EDITION FOR THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY

TECHNOLOGY ROADMAP METROLOGY 2013 EDITION FOR THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2013 EDITION METROLOGY THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING

More information

Discussions start next week Labs start in week 3 Homework #1 is due next Friday

Discussions start next week Labs start in week 3 Homework #1 is due next Friday EECS141 1 Discussions start next week Labs start in week 3 Homework #1 is due next Friday Everyone should have an EECS instructional account Use cory, quasar, pulsar EECS141 2 1 CMOS LEAKAGE CHARACTERIZATION

More information

Techniken der Oberflächenphysik (Techniques of Surface Physics)

Techniken der Oberflächenphysik (Techniques of Surface Physics) Techniken der Oberflächenphysik (Techniques of Surface Physics) Prof. Yong Lei & Dr. Yang Xu (& Liying Liang) Fachgebiet 3D-Nanostrukturierung, Institut für Physik Contact: yong.lei@tu-ilmenau.de; yang.xu@tu-ilmenau.de;

More information

* AIT-4: Aberrations. Copyright 2006, Regents of University of California

* AIT-4: Aberrations. Copyright 2006, Regents of University of California Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. AIT-: LER and Chemically Amplified Resists

More information

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World,AIMS EUV Development Design Study Karl-Heinz Bechstein, Mathias Esselbach, Wolfgang Harnisch, Norbert Rosenkranz, Thomas Scherübl, Holger

More information

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node Darren Taylor Photronics, Allen TX 75013 Anthony Vacca, Larry Zurbrick KLA Tencor, 160 Rio Robles, San

More information

EUV Mask Carrier & Load Port Standards Workshop Overview

EUV Mask Carrier & Load Port Standards Workshop Overview EUV Mask Carrier & Load Port Standards Workshop Barcelona Spain Oct. 19 th 2006 EUV Mask Carrier & Load Port Standards Workshop Overview Phil Seidel SEMATECH (Co-Chair) Long He SEMATECH / Intel (Co-Chair)

More information

Lecture 15: Scaling & Economics

Lecture 15: Scaling & Economics Lecture 15: Scaling & Economics Outline Scaling Transistors Interconnect Future Challenges Economics 2 Moore s Law Recall that Moore s Law has been driving CMOS [Moore65] Corollary: clock speeds have improved

More information

Title: ASML PAS 5500 Job Creation Semiconductor & Microsystems Fabrication Laboratory Revision: D Rev Date: 09/20/2012

Title: ASML PAS 5500 Job Creation Semiconductor & Microsystems Fabrication Laboratory Revision: D Rev Date: 09/20/2012 Approved by: Process Engineer / / / / Equipment Engineer 1 SCOPE The purpose of this document is to detail the creation of stepper jobs for the ASML PAS 5500. All users are expected to have read and understood

More information

Fall 2003 EE290H Tentative Weekly Schedule

Fall 2003 EE290H Tentative Weekly Schedule Fall 2003 EE290H Tentative Weekly Schedule 1. Functional Yield of ICs and DFM. 2. Parametric Yield of ICs. 3. Yield Learning and Equipment Utilization. 4. Statistical Estimation and Hypothesis Testing.

More information

Resist material for negative tone development process

Resist material for negative tone development process Resist material for negative tone development process FUJIFILM Corporation Electronic Materials Research Laboratories P-1 Outline 1. Advantages of negative tone imaging for DP 2. Process maturity of negative

More information

Measurement of EUV scattering from Mo/Si multilayer mirrors

Measurement of EUV scattering from Mo/Si multilayer mirrors Measurement of EUV scattering from Mo/Si multilayer mirrors N. Kandaka, T. Kobayashi, T. Komiya, M. Shiraishi, T. Oshino and K. Murakami Nikon Corp. 3 rd EUVL Symposium Nov. 2-4 2004 (Miyazaki, JAPAN)

More information

The Role of Wet Cleans in Semiconductor Process Development & High Volume Manufacturing Costs

The Role of Wet Cleans in Semiconductor Process Development & High Volume Manufacturing Costs The Role of Wet Cleans in Semiconductor Process Development & High Volume Manufacturing Costs Akshey Sehgal Fab 8 Advanced Technical Development Malta, NY Doing it Right the First Time: 1 Advanced Technology

More information

SMP625 Product Specifications

SMP625 Product Specifications ADVANCED MATERIALS HANDLING SPECIFICATION SHEET SMP625 Product Specifications SPECIFICATIONS Reticle size 152 mm 152 mm 6.35 mm (6" 6" 0.250") Reticle capacity 1 Mass Approximately 500 g Color Black Materials

More information

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Uzodinma Okoroanyanwu, 1 Aiqin Jiang, 2 Kornelia Dittmar, 3 Torsten Fahr, 3 Thomas Laursen, 2 Obert Wood, 1 Kevin Cummings, 2 Christian

More information

Development of a Mask-Scan EB Mask Writing System

Development of a Mask-Scan EB Mask Writing System Development of a Mask-Scan EB Mask Writing System Munehiro OGASAWARA, Shinsuke NISHIMURA, Kiminobu AKENO, Soichiro. MITSUI, Mitsuko SHIMIZU, Hideo KUSAKABE, and Toru TOJO EUV Process Technology Research

More information

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations Chapter 2 Process Variability Overview Parameter variability has always been an issue in integrated circuits. However, comparing with the size of devices, it is relatively increasing with technology evolution,

More information

Top down and bottom up fabrication

Top down and bottom up fabrication Lecture 24 Top down and bottom up fabrication Lithography ( lithos stone / graphein to write) City of words lithograph h (Vito Acconci, 1999) 1930 s lithography press Photolithography d 2( NA) NA=numerical

More information

Characterization of Optical Proximity Correction Features

Characterization of Optical Proximity Correction Features Characterization of Optical Proximity Correction Features John Allgair, Michelle Ivy, Kevin Lucas, John Sturtevant Motorola APRDL, Austin, TX 7871 Richard Elliott, Chris A. Mack, Craig MacNaughton, John

More information

Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010

Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010 Approved by: Process Engineer / / / / Equipment Engineer 1 SCOPE The purpose of this document is to detail the use of the ASML PAS 5500 Stepper. All users are expected to have read and understood this

More information

SCI. Scientific Computing International. Scientific Computing International. FilmTek. Raising Thin Film Metrology Performance to a New Level

SCI. Scientific Computing International. Scientific Computing International. FilmTek. Raising Thin Film Metrology Performance to a New Level FilmTek Raising Thin Film Metrology Performance to a New Level 1 Through Silicon Via (TSV) Metrology FilmTek TM TM TSV TSV Metrology Advantages Measure high aspect ratio TSV structures (up to 30:1) Measure

More information

We published the text from the next page.

We published the text from the next page. Title:Shedding light on EUV mask inspection Authors:Kazunori Seki, Karen Badger, Emily Gallagher, Toshio Konishi, Gregory McIntyre Publisher:Photomask Japan 2012(SPIE) Citation:Kazunori Seki, Karen Badger,

More information

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process John C. Lam, Alexander Gray n&k Technology, Inc., Santa Clara, CA ABSTRACT Critical dimension (CD) metrology

More information

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT Photolithography Evolution 1 : Evolution 2 Photomasks Substrates: Type : thermal expansion Chrome Pellicles Mask: OPC and PSM Fabrication: E-Beam or Laser 3 Photomask Information Websites: http://www.photronics.com/internet/corpcomm/publications/basics101/basics.

More information