EUV Lithography Status and Key Challenges for HVM Implementation

Size: px
Start display at page:

Download "EUV Lithography Status and Key Challenges for HVM Implementation"

Transcription

1 EUV Lithography Status and Key Challenges for HVM Implementation Sam Intel Corporation

2 Moore s Law at Intel 10 Feature Size (um) 100 Cell Area (sq um) x every 2 years The trend is expected to continue Goal Find most cost-effective lithography solution to allow trend to continue 2

3 Choosing between Lithography Options If current lithography is capable of delivering a manufacturable process, use it If not: If new lithography technology is ready, manufacturable and cost-effective, use it (increase NA, reduce λ) If not: need to make alternative decisions to enable scaling without litho improvements (operate more effectively at lower k 1 ) Managing litho transitions is key! Requires significant planning 3

4 1.2 ArF Pitch Division vs. EUV NA ArF DP 1.35NA ArF EUV k NA ArF 0.3 k = /2 Pitch (nm) 45nm node 80nm HP 07 HVM Dry 32nm node 56nm HP 09 HVM Immersion 22nm node ~40nm HP 11 HVM Immersion 15nm node 26-30nm HP 13 HVM ArF PD/ EUV 11nm node 18-22nm HP 15 HVM ArF PD/ EUV 4

5 Patterning Choices for 15nm and 11nm ArF Pitch Division EUV Advantages: Known technology Well-established infrastructure Mature photoresist and tooling Disadvantages: Complex process flow Very expensive Complicated DRs Advantages: Single exposure Simpler DRs Disadvantages: Unknown technology Infrastructure needs to be developed Immature photoresist, tooling 5

6 EUV HVM Key Requirements Stable hardware Scanner platform Optics Overlay/stage System (vacuum) Source Reliability and uptime Power Photoresist that meets requirements Resolution, sensitivity, LWR Etch interactions Reticles Defectivity Infrastructure (cleans, inspections, handling) Success of EUV in HVM will depend on progress on all these fronts 6

7 Exposure Tooling 7

8 External EUV Exposure Tooling Nikon EUV1 alpha tool 0.25NA full field scanner Currently installed at Nikon and SELETE ASML Alpha Demo Tool (ADT) 0.25NA full field scanner Currently installed at IMEC and SEMATECH Intel Internal MET small-field exposure tool Target application is resist development 8

9 EUV HVM Exposure Tooling Development ASML ADT printed wafer Nikon EUV1 printed wafer EUV Source Suppliers are competing towards HVM tool development Cymer beta source Philips beta source 9

10 Photoresists 10

11 0.3NA capability 600 x 600 µm field Low flare (3-6%) Intel MET Source: (XTREME DPF Source) 0.5mm x 2mm (FWHM) 35W EUV in 2π New EUV collector installed New outer shell extended σ outer from 0.55 to 0.65, 22nm HP resolution with quadrupole illumination First step in preparation for 0.5 NA MET projection optics (2010) that will enable ~10nm HP resolution 11

12 Intel MET Status J/cm MET Cumulative Dose (J/cm2) 200 2H '04 (Install/Qual) (through WW37) 1 J/cm2 per day J/cm2 per day 1000 Day J/cm2 per day 3.8 J/cm2 per day Uptime average: 67% in 07, 85% in 08, 65% through WW38 in 09 Continuous improvement in output efficiency 14J/cm 2 /day currently On track to deliver more dose in 2009 than in 2008 Improved resolution and expanded process window Long term upgrade path defined down to ~10nm HP > 250 Resists Screened in 08. Goal > 500 in 09 12

13 Intel EUV Resist Team New Materials Benchmarked 2H 07 - Present = = 424 (YTD) Albany emet Intel MET EUV Resists Benchmarked / Month JUL AUG SEP OCT NOV DEC JAN FEB MAR APR MAY JUN JUL AUG SEP OCT NOV DEC JAN FEB MAR APR MAY JUN JUL AUG SEP (F) OCT (F) SCHED INTEL-MET UPGRADE UNSCHED INTEL-MET DOWNTIME

14 Quadrupole Aerial Image Quality on Intel MET NA = 0.30, On-Axis Dipole vs Quadrupole Comparison Quadrupole 0.68/0.36 (max outer σ /inner σ) On-axis dipole On-axis dipole 0.68/0.36 SEM SEVR-115 Acrylic EUV resist; FT = 40 nm Test match between simulation and experiment; Nice way to experimentally probe contrast required for EUV platform Demonstration of meaningful CAR solution for EUVL at 22nm HP Attribute limitations to exposure tool, mask, or material 14

15 ILS (1/um), Contrast (%) MET Quad Source Enables 22nm HP ILS Contrast HP (nm) From mid-2009 Quad 0.68/ nm HP 28nm HP 26nm HP 24nm HP 22nm HP 20nm HP 15

16 New MET Dipole Source Enables 18nm HP Intel MET on-axis dipole capable of imaging 18nm HP Contrast begins to fall off at 17nm HP Currently mask and/or resist limited 20 nm HP 19 nm HP On-axis dipole 15 nm HP 16 nm HP 17 nm HP 18 nm HP 0.68/0.36 σ 16

17 Berkeley ALS-MET (Rotated Dipole) :: Champion RLS Summary for hp Resist D Esize = mj Min LWR = 4.8 nm UR ~ 28 nm HP SMT01 Esize = mj Min LWR = 6.2 nm UR ~ 24 nm HP Resist E Esize = 9.95 mj Min LWR = 6.3 nm UR~ 24 nm HP Resist F Esize = 6.85 mj Min LWR = 5.3 nm UR~ 26 nm HP 22 HP 30 HP Champion CAR platforms Nominally Meeting 22nm HP R/S Targets but Failing for LWR/PC 17

18 Pattern Collapse Margin Improvement Multiple approaches may be needed to address problem Modify Aspect Ratio Surface (Energy) Optimization: Hydrophobicity, Multilayer stacks Increased resist modulus, Negative Tone & Semi-organic Resists Decreased Surface Tension: Rinse agents, Organic Developers, Develop/Rinse/Spin Dry Process Optimization Pattern Collapse Mitigation is a key area of focus 18

19 LWR Reduction Techniques No Treatment Technique Etch/Trim Vapor Smoothing Hardbake Ozonation Rinse Etch/Trim Reduction (nm) Reduction (%) Vapor Hardbake Ozonation Physical (Etch/Trim, Hardbake) Photoresist chemistry independent Chemical (Vapor, Ozonation, Rinse Agent) Photoresist chemistry dependent Rinse Chandhok et al, J. Vac. Sci. Technol. B, (Nov 2008) Multiple techniques may be needed to address LF & HF roughness Largest LWR Improvement Seen with Rinse Agent 19

20 Current Target Improvement Required Resist and Tooling Gaps Source Power Current Target Improvement Required Photoresists (32/22nm HP) Power (W) ~ X Photospeed (mj/cm 2 ) 10/20 10 None/2X Current Target Improvement Required 3σ LWR (nm) 3.8/ /1.28 2X/5X Scanner Runrate Runrate (wph) X Summary: Good progress made to date Need continued work to bridge (or significantly reduce) gaps for both performance and COO 20

21 Reticles 21

22 HVM Reticle Infrastructure Requirements Reticle Requirements Mask Shop Mask Manufacturing Mask Cleaning Blank inspection Patterned inspection AIMS inspection Fab In-situ Inspection Patterned Inspection Need inspection capability in both the mask shop and the fab to ensure manufacturable operations 22

23 Intel s Mask Tool Pilot Line 1G & 2G Blank Inspection Flatness EUV Refl Film Dep 3G Actinic Blank Inspection Sorter Patterned mask inspection Mask Clean EUV AIMS 23

24 Mask Blank Yield Gap for Pilot Line and HVM Introduction Determine Defect Density Target defects/cm 18 nm is the historical defect free target However, recent data suggests only 10-20% of defects print The ultimate HVM defect density target might be nm Today: 1 defect/cm Gap to Pilot: > 25x Gap to HVM: >100x Mask yield with zero defects 100% 90% 80% 70% 60% 50% 40% 30% 20% 10% 0% Pilot Line Yield defects/cm 2 Today 10-20% of defects print 0.02 HVM Pilot HVM Yield 1% 10% 100% Percentage of defects that are printable Data from Sematech 24

25 Mask Blank Defect Trends 1.E+04 1.E+03 80nm defect size 50nm defect size RM-for blank need by 2012 RM-for blank need by G Actinic Inspection Defect counts 1.E+02 1.E+01 80nm 45nm 50nm 45nm 35nm 35nm 30nm 3G tool 25nm Actual Needed 25nm 20nm 1.E+00 1G inspection tool 2G tool Jan-06 Jan-07 Jan-08 Jan-09 Jan-10 Jan-11 Jan-12 Jan-13 Jan-14 Metrology Tool Gap Limits HVM Insertion! 25

26 New Blank Defect Inspection Capability M1350 M7360 Blank inspection tool Laser source λ > 98% capture rate Def. on quartz substrate Def. on ML blank G1 (M1350) 488 nm 2004-Q nm 80 nm Q nm 50 nm G2 (M7360) 266 nm Q nm 40 nm 2 nd -gen mask blank inspection tool successfully installed in June Inspectability will be further extended with spatial filter upgrade in Q4 Moving toward ultimate 25nm inspection requirement for

27 AIMS and Patterned Defect Inspections AIMS: Industry requirement: 22nm hp+ defect repair verification with scanner conditions Strategy for 2013 HVM: HVM tool requires commercial partner, but market is small Consortium model attempts underway July summit Patterned: Industry Requirement: Patterned defect inspection at 22nm HP KLA6XX will achieve 32nm and some 22nm HP performance Strategy for 2013 HVM: Market is sizeable and tool cost significant Suppliers unwilling to bear $250M NRE cost alone July summit SEMATECH contribution will be to broker funding model 27

28 July Semicon Meeting on EUV Reticles Meeting was held with good participation from suppliers, mask shops, IDM s, and consortia. Technical and business working groups have been busy Available data has been shared and leveraged as appropriate Some additional data collection underway to validate specs Stakeholders should provide clear feedback on funding models A benefit for assuming risk is equivalent to a penalty for standing by (e.g. ROFRs, royalties, etc.) Letters of Intent will be requested this year, commitments early 2010 Now is the time to get on board! 28

29 HVM Pilot Development EUV Mask Inspection Tools Summary Defect Size [nm] Substrate Blank Substrate & Blank Lasertec M1350 (1st Generation) M1350 & SEMATECH Lasertec M7360 (2nd Generation) 2.5 Generation Substrate Inspection Tool (2.5G) (Supplier TBD) (can also be used for destructive blank inspection) Table from Sematech SEMATECH Berkeley AIT Selete MIRAI 3 rd Generation Blank Inspection Bridge Tool (3G ) (Supplier TBD) Commercial 3G Inspection Tool (Supplier TBD) ABC = Existing Tools AIMS & Patterned SEMATECH Berkeley AIT 88 nm mask CD resolution Key for defect printability understanding SEMATECH Berkeley AIT2 60 nm mask CD resolution AIMS Bridge tool Commercial AIMS Tool (Supplier TBD) KLA 5XX KLA 6XX Actinic Patterned Inspection (Supplier TBD) = Actinic Inspection Tools 29

30 Particle-free Reticle Handling Progress 0.20 Average Adders spod Carrier Shipping Vacuum Storage Total He, et al. Proc. SPIE 6921, 69211Z (March 21, 2008) E152 standard compliant prototype (spod) shows reticle protection down to 0.1 added particles per nm. with Inner Pod Exposed 30

31 In-situ Inspection Need to verify reticle cleanliness AFTER loading into scanner and BEFORE printing wafers Repeater concern is serious due to lack of pellicles ArF scanners have in-situ reticle inspection capability Not having in-situ capability would require printing of defect look-ahead wafers Manageable in development and perhaps in pilot line mode Unacceptable for HVM Need focus from tool vendors to have capability avaialable in HVM tooling platforms 31

32 Reticle Technical and Infrastructure Gaps Current reticle defectivity gap is about X Need continuous improvement Relaxation of flatness spec might help bridge gap Inspection gaps Actinic blank inspection Patterned defect inspection spec vs. actual In-situ inspection AIMs inspection SEMATECH is adopting a bridge tool solution for actinic blank and AIMS inspection so that some capability will be available for pilot line in 2011 Production actinic inspection, AIMS, and patterned inspection will require industry-wide funding (July workshop) 32

33 Summing Up 33

34 HVM Gaps - Overall Full field production scanner Source Resist Mask Blank Multilayer Dep Actinic Blank Inspection Actinic Defect Review Mask Patterned Inspection Suppliers building solutions? Yes Yes Yes Yes No No No Estimated Cost for HVM Solution Funded Funded Funded Funded >50M >50M >100M Table data from Bryan Rice, Sematech SEMATECH s EUV mask infrastructure strategy is: Obtain support from various partners (public and private) Commit most of SEMATECH s Litho budget to mask infrastructure over next four years Need industry consensus on required funding to bridge gaps 34

35 EUV Cost-Effectiveness COO! 35

36 Conclusions Substantial progress made on resist and tooling Resists typically about 2X from goal for sensitivity/lwr Laser power about 10X from goal Overall tool runrate requires ~ 20X improvement to 100wph goal Reticle defectivity is a major concern Blank defectivity needs substantial improvement Relaxation of flatness requirement might provide some mitigation Reticle inspection capability has major gaps. Need industry funding to enable tooling to be developed in time for HVM Academic exercise is over!! EUV has moved from research to implementation mode Problems left to be solved are largely engineering in nature Need sustained focus and industry-wide commitment to solve Ultimately EUV insertion will be based on a COO decision vs. ArF 36

37 No Exponential is forever, but we can delay forever Gordon Moore Scaling + Yield Defect Density (Log Scale) Source: Will EUV performance and COO enable us to continue to delay forever? forever? 37

38

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond Christof Krautschik Technology & Manufacturing Group External Programs Intel, Santa Clara, CA October

More information

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing Britt Turkot Intel Corporation Outline Milestone Progress Exposure Tool Reticle Pellicle Infrastructure HVM Considerations

More information

EUVL Readiness for High Volume Manufacturing

EUVL Readiness for High Volume Manufacturing EUVL Readiness for High Volume Manufacturing Britt Turkot Intel Corporation Outline Exposure Tool Progress Power Availability Intel demo results Reticle Defectivity Pellicle Materials Conclusion 2 Source

More information

EUV Lithography Towards Industrialization

EUV Lithography Towards Industrialization EUV Lithography Towards Industrialization Wim van der Zande, Director of Research, ASML Dublin Meeting November 2014 Slide 2 Agenda EUV benefit and status at customers Towards higher productivity Summary

More information

Overview of EUV Lithography and EUV Optics Contamination

Overview of EUV Lithography and EUV Optics Contamination Accelerating the next technology revolution Overview of EUV Lithography and EUV Optics Contamination Andrea Wüest NIST Contamination WS Gaithersburg, MD June 2, 2009 Copyright 2008 SEMATECH, Inc. SEMATECH,

More information

Status of EUV Sources for Mask Metrology

Status of EUV Sources for Mask Metrology Status of EUV Sources for Mask Metrology Vivek Bakshi, Ph.D. EUV Litho Inc. 10202 Womack Road, Austin, TX 78748 USA www.euvlitho.com vivek.bakshi@euvlitho.com Outline Background Current Technology Status

More information

Actinic review of EUV masks: First results from the AIMS EUV system integration

Actinic review of EUV masks: First results from the AIMS EUV system integration Invited Paper Actinic review of EUV masks: First results from the AIMS EUV system integration Markus R. Weiss* a, Dirk Hellweg a, Jan Hendrik Peters b, Sascha Perlitz b, Anthony Garetto b, Michael Goldstein

More information

EUV lithography industrialization for HVM

EUV lithography industrialization for HVM EUV lithography industrialization for HVM Michael Lercel Director, Strategic Marketing, Tokyo Outline Slide 2 NXE Roadmap NXE:3400B performance Reticle front-side defectivity EUV source roadmap EUV extendibility

More information

Progress on ASML s EUV Alpha Demo Tool

Progress on ASML s EUV Alpha Demo Tool Progress on ASML s EUV Alpha Demo Tool Noreen Harned 1, Peter Kuerz 3, Hans Meiling 2, Bas Mertens 5, Gregor van Baars 4 3rd International EUVL Symposium 2 November 2004 1 ASML, Wilton, CT; 2 ASML, Veldhoven,

More information

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 ASML NXE Pellicle progress update Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 Contents Slide 2 Introduction: a look back at 2015 NXE Pellicle update Pellicle film development NXE Scanner

More information

EUVL for HVM: Progress Update

EUVL for HVM: Progress Update EUVL for HVM: Progress Update Mark Phillips Intel Corporation EUVL Workshop, Maui, Hawaii, 17 June 2015, Mark Phillips (Intel) Outline EUV source and system performance EUV/193i complementary patterning

More information

EUREKA: A new Industry EUV Research Center at LBNL

EUREKA: A new Industry EUV Research Center at LBNL EUREKA: A new Industry EUV Research Center at LBNL Patrick Naulleau Center for X-ray Optics Lawrence Berkeley National Laboratory Berkeley Lab MSD Materials Sciences Division 1 Operating model Core operational

More information

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial Marks for EUV mask blanks Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial marks are laser scribed on 200 mm wafers to enable defect registration on metrology

More information

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine Content EUV lithography: History and status EUV sources- historical perspective: Age of choice Age of Xe Age of Sn Age

More information

We published the text from the next page.

We published the text from the next page. Title:Shedding light on EUV mask inspection Authors:Kazunori Seki, Karen Badger, Emily Gallagher, Toshio Konishi, Gregory McIntyre Publisher:Photomask Japan 2012(SPIE) Citation:Kazunori Seki, Karen Badger,

More information

E152 Standard Revision: EUV-pod Reticle Carrier

E152 Standard Revision: EUV-pod Reticle Carrier E152 Standard Revision: EUV-pod Reticle Carrier February 27, 2011, San Jose EUV Reticle Handling TF Co-chairs/Key Contributors: Long He (Intel), David Halbmaier (Entegris), John Lystad (Entegris), John

More information

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography February 26, 2009 Shinji Tarutani FUJIFILM Corporation Research & Development Management Headquarters Electronic Materials Research

More information

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH High NA the Extension Path of EUV Lithography Dr. Tilmann Heil, Carl Zeiss SMT GmbH Introduction This talk is about resolution. Resolution λ = k 1 NA High-NA NA 0.33 0.4 0.5 0.6 Resolution @ k1=0.3 single

More information

Analysis of carbon contamination on EUV mask using CSM/ ICS

Analysis of carbon contamination on EUV mask using CSM/ ICS Analysis of carbon contamination on EUV mask using CSM/ ICS Jae Uk Lee 1, Chang Young Jeong 1, Sangsul Lee 1, Jong Gul Doh 1,, Dong Geun Lee 2, Seong-Sue Kim 2, Han-Ku Cho 2, Seung-yu Rah 3 and Jinho Ahn

More information

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC The Impact of EUV on the Semiconductor Supply Chain Scotten W. Jones President IC Knowledge LLC sjones@icknowledge.com Outline Why EUV Who needs EUV EUV adoption roadmaps EUV wafer volume projections Impact

More information

Resist material for negative tone development process

Resist material for negative tone development process Resist material for negative tone development process FUJIFILM Corporation Electronic Materials Research Laboratories P-1 Outline 1. Advantages of negative tone imaging for DP 2. Process maturity of negative

More information

UV2Litho Usable Vacuum Ultra Violet Lithography

UV2Litho Usable Vacuum Ultra Violet Lithography UV2Litho Usable Vacuum Ultra Violet Lithography A.M. Goethals, R. Jonckheere, F. Van Roey, Jan Hermans, A. Eliat, K. Ronse (IMEC) P. Wong (ASML) P. Zandbergen (Philips) M. Vasconi, E. Severgnini (STMicroelectronics

More information

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World,AIMS EUV Development Design Study Karl-Heinz Bechstein, Mathias Esselbach, Wolfgang Harnisch, Norbert Rosenkranz, Thomas Scherübl, Holger

More information

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems Metrology, 61 Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems Manish Chandhok,, Sang H. Lee, Christof Krautschik, Guojing Zhang, Bryan

More information

Wavelength-Specific Reflections A Decade of EUV Mask Inspection Research

Wavelength-Specific Reflections A Decade of EUV Mask Inspection Research Wavelength-Specific Reflections A Decade of EUV Mask Inspection Research Kenneth Goldberg, Iacopo Mochi Lawrence Berkeley National Laboratory 1 The main things you need to know EUV reticle Samsung 2007

More information

ASML Approach to Euv Reticle Handling

ASML Approach to Euv Reticle Handling ASML Approach to Euv Reticle Handling Mask Workshop Antwerp Henk Meijer 3-October-2003 / Slide 1 Presentation Agenda Unique features of EUV reticles Contamination

More information

High Optical Density Photomasks For Large Exposure Applications

High Optical Density Photomasks For Large Exposure Applications High Optical Density Photomasks For Large Exposure Applications Dan Schurz, Warren W. Flack, Makoto Nakamura Ultratech Stepper, Inc. San Jose, CA 95134 Microlithography applications such as advanced packaging,

More information

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Uzodinma Okoroanyanwu, 1 Aiqin Jiang, 2 Kornelia Dittmar, 3 Torsten Fahr, 3 Thomas Laursen, 2 Obert Wood, 1 Kevin Cummings, 2 Christian

More information

Cost of Ownership Considerations for Maskless Lithography

Cost of Ownership Considerations for Maskless Lithography Accelerating the next technology revolution Cost of Ownership Considerations for Maskless Lithography Lloyd C. Litt, SEMATECH Andrea F. Wüest, SEMATECH Copyright 2008 SEMATECH, Inc. SEMATECH, and the SEMATECH

More information

Cost Implications of EUV Lithography Technology Decisions

Cost Implications of EUV Lithography Technology Decisions Accelerating the next technology revolution Cost Implications of EUV Lithography Technology Decisions Andrea F. Wüest, SEMATECH Andrew J. Hazelton, Nikon Corporation Greg Hughes, SEMATECH Lloyd C. Litt,

More information

IEUVI Mask Technical Working Group

IEUVI Mask Technical Working Group IEUVI Mask Technical Working Group Proposed changes P37/P38/P40 SEMI standards Thursday, Feb 28, 2008 / Slide 1 Introduction All of the recommendations are driven by the

More information

Figure 1 below shows the generic process flow of an LELE method of double patterning.

Figure 1 below shows the generic process flow of an LELE method of double patterning. Multilayer Double Patterning MCEE 505/605 LM&P Rajiv Sejpal (585) 622-8081 rns4256@rit.edu Dept. of Microelectronics Engineering, Rochester Institute of Technology GOAL Due to the delay in next generation

More information

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG TEMPORARY BONDING / DEBONDING AS THIN WAFER HANDLING SOLUTION FOR 3DIC & INTERPOSERS Device

More information

Current development status of Shin-Etsu EUV pellicle

Current development status of Shin-Etsu EUV pellicle Current development status of Shin-Etsu EUV pellicle Advanced Functional Materials Research Center 1 Why Pellicle for EUV Lithography? Extensive studies on particle addition during reticle transfer have

More information

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Manish Chandhok, a Heidi Cao, a Wang Yueh, a Eric Gullikson, b Robert Brainard, c Stewart Robertson c a Intel Corporation,

More information

SMP625 Product Specifications

SMP625 Product Specifications ADVANCED MATERIALS HANDLING SPECIFICATION SHEET SMP625 Product Specifications SPECIFICATIONS Reticle size 152 mm 152 mm 6.35 mm (6" 6" 0.250") Reticle capacity 1 Mass Approximately 500 g Color Black Materials

More information

GAMINGRE 8/1/ of 7

GAMINGRE 8/1/ of 7 FYE 09/30/92 JULY 92 0.00 254,550.00 0.00 0 0 0 0 0 0 0 0 0 254,550.00 0.00 0.00 0.00 0.00 254,550.00 AUG 10,616,710.31 5,299.95 845,656.83 84,565.68 61,084.86 23,480.82 339,734.73 135,893.89 67,946.95

More information

EUV Mask Carrier & Load Port Standards Workshop Overview

EUV Mask Carrier & Load Port Standards Workshop Overview EUV Mask Carrier & Load Port Standards Workshop Barcelona Spain Oct. 19 th 2006 EUV Mask Carrier & Load Port Standards Workshop Overview Phil Seidel SEMATECH (Co-Chair) Long He SEMATECH / Intel (Co-Chair)

More information

Hyper-NA imaging of 45nm node random CH layouts using inverse lithography

Hyper-NA imaging of 45nm node random CH layouts using inverse lithography Hyper-NA imaging of 45nm node random CH layouts using inverse lithography E. Hendrickx* a, A. Tritchkov b, K. Sakajiri b, Y. Granik b, M. Kempsell c, G. Vandenberghe a a IMEC, Kapeldreef 75, B-3001, Leuven,

More information

Chromeless Phase Lithography (CPL)

Chromeless Phase Lithography (CPL) Chromeless Phase Lithography (CPL) Chromeless Phase Lithography or CPL is a recent development in the area of phase shifting technology that is extending the perceived k 1 limits and has the potential

More information

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Title Spatial scaling metrics of mask-induced induced line-edge roughness Permalink https://escholarship.org/uc/item/5rc666c3

More information

Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation

Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation Masaya Kato a, Hideki Inuzuka a, Takeshi Kosuge a, Shingo Yoshikawa a, Koichi Kanno a, Hidemichi

More information

T h e Y i e l d M a n a g e m e n t C o m p a n y Electrostatic Compatibility in Photolithography An OEM perspective

T h e Y i e l d M a n a g e m e n t C o m p a n y Electrostatic Compatibility in Photolithography An OEM perspective T h e Y i e l d M a n a g e m e n t C o m p a n y Electrostatic Compatibility in Photolithography An OEM perspective Jeff Bruner Compliance Engineering Project Manager KLA-Tencor RAPID Division Topics

More information

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES Entegris Edit -SEMI Draft Document (10-11-2006 Revision) NEW STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE 6 INCH EUV RETICLES This specification draft

More information

Molecular Glass Resist with Organic Developer

Molecular Glass Resist with Organic Developer 1 Molecular Glass Resist with Organic Developer James M. Blackwell 1,2 Armando Ramirez 1 Hiroki Nakagawa 1,3 Yoshi Hishiro 3 1 Intel's Molecules for Advanced Patterning(MAP) Program, LBNL Molecular Foundry,

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Holographic method for detecting amplitude and phase-shift errors and features in EUV ML reticle blanks

Holographic method for detecting amplitude and phase-shift errors and features in EUV ML reticle blanks Holographic method for detecting amplitude and phase-shift errors and features in EUV ML reticle blanks David Nijkerk, Norbert Koster, Eddy van Brug and Diederik Maas* TNO Science and Industry, Stieltjesweg

More information

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA EUV Litho, June 15 th, 2016 Luc Girard 1, Lou Marchetti 1, Jim Kennon 2, Bob Kestner 2, Regina Soufli 3, Eric Gullickson 4 1 Zygo Corporation,

More information

Public Disclosure Copy

Public Disclosure Copy EAST ASIA AND PACIFIC Indonesia Finance & Markets Global Practice IBRD/IDA Investment Project Financing FY 2009 Seq No: 14 ARCHIVED on 27-Dec-2017 ISR31097 Implementing Agencies: Republic of Indonesia,

More information

ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM

ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM Jan van Schoot, Bert Koek, Chris de Mol, Peter van Oorschot. ASML Veldhoven, The Netherlands This paper was first presented at the Semicon/

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Photomask and X-Ray Mask Technology VII SPIE Vol. 466, pp. 172-179. It is made available

More information

Nanoparticle Contamination Control and Metrology for the EUVL Systems

Nanoparticle Contamination Control and Metrology for the EUVL Systems Nanoparticle Contamination Control and Metrology for the EUVL Systems David Y. H. Pui Distinguished McKnight University Professor Mechanical Engineering Department University of Minnesota Jing Wang Assistant

More information

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XVI, SPIE Vol. 3678, pp. 1-1011. It

More information

Lecture 14 Advanced Photolithography

Lecture 14 Advanced Photolithography Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes

More information

RS 1700/1900/2300/2900 High Density Reticle Stockers

RS 1700/1900/2300/2900 High Density Reticle Stockers RS 1700/1900/2300/2900 High Density Reticle Stockers CONTAMINATION CONTROL SOLUTIONS Benefits Secure reticle storage Tec-Cell technology Prolongs the reticle lifetime Fast reticle access time High storage

More information

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node Darren Taylor Photronics, Allen TX 75013 Anthony Vacca, Larry Zurbrick KLA Tencor, 160 Rio Robles, San

More information

193 nm STEP AND SCAN LITHOGRAPHY

193 nm STEP AND SCAN LITHOGRAPHY 193 nm STEP AND SCAN LITHOGRAPHY Guy Davies, Judon Stoeldraijer, Barbra Heskamp, Jan Mulkens, Joost Sytsma, Hans Bakker ASML BV, De Run 111, 553 LA Veldhoven, The Netherlands Holger Glatzel, Christian

More information

RS-C Flexible Reticle Stocker

RS-C Flexible Reticle Stocker RS-C Flexible Reticle Stocker CONTAMINATION CONTROL SOLUTIONS Benefits Secure reticle storage Tec-Cell technology Prolongs the reticle lifetime Fast reticle access time Full Flexibility The RS-C is a fully

More information

ENGINE SERIAL NUMBERS

ENGINE SERIAL NUMBERS ENGINE SERIAL NUMBERS The engine number was also the serial number of the car. Engines were numbered when they were completed, and for the most part went into a chassis within a day or so. However, some

More information

Overview European EUVL programme

Overview European EUVL programme Rob Hartman Overview European EUVL programme Rob Hartman 3 rd International EUVL Symposium Miyazaki, 3 November, 2004 Rob Hartman Agenda When EUV? European programme in risk areas Source Tool & Optics

More information

EUV Resist-Fundamental Research

EUV Resist-Fundamental Research EUV Resist-Fundamental Research Akinori Saeki, Hiroki Yamamoto, Takahiro Kozawa, and Seiichi Tagawa The Institute of Scientific and Industrial Research, Osaka University CREST, Japan Science and Technology

More information

Superconductivity for Electric Systems 2006 Annual DOE Peer Review

Superconductivity for Electric Systems 2006 Annual DOE Peer Review Superconductivity for Electric Systems 26 Annual DOE Peer Review July 25 27, 26 HTS Solutions for a New Dimension in Power Superconductivity for Electric Systems 26 Annual DOE Peer Review Progress in Scale-up

More information

LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING

LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING European 3D TSV Summit, January 22-23, 2013, Grenoble Dr. Rainer Knippelmeyer, CTO and VP of R&D, GM Product Line Bonder

More information

Impact of Pellicle on Overlay in Double Patterning Lithography

Impact of Pellicle on Overlay in Double Patterning Lithography Impact of Pellicle on Overlay in Double Patterning Lithography Oliver Loeffler 1, Frank Laske 2, Michael Ferber 2, Klaus-Dieter Roeth 2, Lin Chua 3, You Seung Jin 3, Gino Marcuccilli 3, Venkat Nagaswami

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE143 Professor Ali Javey Fall 2009 Exam 1 Name: SID: Closed book. One sheet of notes is allowed.

More information

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML Double patterning for 32nm and below: an update Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML Mireille Maenhoudt, Shaunee Cheng, Tom Vandeweyer IMEC / Slide 1

More information

Sensors and Metrology. Outline

Sensors and Metrology. Outline Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X

Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X Scattering Ronald L. Jones, Wen-li Wu, Eric K. Lin NIST Polymers Division, Gaithersburg,

More information

Critical Dimension Uniformity using Reticle Inspection Tool

Critical Dimension Uniformity using Reticle Inspection Tool Critical Dimension Uniformity using Reticle Inspection Tool b Mark Wylie, b Trent Hutchinson, b Gang Pan, b Thomas Vavul, b John Miller, b Aditya Dayal, b Carl Hess a Mike Green, a Shad Hedges, a Dan Chalom,

More information

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device Hyung-Seop Kim, Yong Min Cho, Byoung-Ho Lee Semiconductor R&D Center, Device Solution Business, Samsung

More information

Ebeam based mask repair as door opener for defect free EUV masks M. Waiblinger a, Tristan Bret a, R. Jonckheere b, D.

Ebeam based mask repair as door opener for defect free EUV masks M. Waiblinger a, Tristan Bret a, R. Jonckheere b, D. Ebeam based mask repair as door opener for defect free EUV masks M. Waiblinger a, Tristan Bret a, R. Jonckheere b, D. Van den Heuvel b a Carl Zeiss SMS GmbH - Carl-Zeiss-Promenade 10, 07745 Jena, / Industriestraße

More information

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Photolithography Overview  9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview http://www.intel.com/research/silicon/mooreslaw.htm 1 Moore s law only holds due to photolithography advancements in reducing linewidths 2 All processing to create electric components and circuits rely

More information

Characterization of Optical Proximity Correction Features

Characterization of Optical Proximity Correction Features Characterization of Optical Proximity Correction Features John Allgair, Michelle Ivy, Kevin Lucas, John Sturtevant Motorola APRDL, Austin, TX 7871 Richard Elliott, Chris A. Mack, Craig MacNaughton, John

More information

Litho scenario solutions for FinFET SRAM 22nm node

Litho scenario solutions for FinFET SRAM 22nm node See discussions, stats, and author profiles for this publication at: https://www.researchgate.net/publication/51695 Litho scenario solutions for FinFET SRAM nm node ARTICLE in PROCEEDINGS OF SPIE - THE

More information

The World Bank OECS Regional Tourism Competitiveness (P152117)

The World Bank OECS Regional Tourism Competitiveness (P152117) Public Disclosure Authorized LATIN AMERICA AND CARIBBEAN OECS Countries Finance, Competitiveness and Innovation Global Practice IBRD/IDA Investment Project Financing FY 2017 Seq No: 2 ARCHIVED on 28-Jan-2018

More information

AFCC2/RI-South West Indian Ocean Fisheries Governance and Shared Growth Project 1 (P132123)

AFCC2/RI-South West Indian Ocean Fisheries Governance and Shared Growth Project 1 (P132123) Public Disclosure Authorized AFRICA Africa Environment & Natural Resources Global Practice IBRD/IDA Investment Project Financing FY 2015 Seq No: 7 ARCHIVED on 10-Jul-2018 ISR32754 Implementing Agencies:

More information

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Advanced Materials Research Center, AMRC, International SEMATECH Manufacturing Initiative, and

More information

Public Disclosure Copy

Public Disclosure Copy Public Disclosure Authorized SOUTH ASIA Nepal Agriculture Global Practice IBRD/IDA Investment Project Financing FY 2008 Seq No: 22 ARCHIVED on 27-Apr-2018 ISR32244 Implementing Agencies: Poverty Alleviation

More information

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 2th Annual BACUS Symposium on Photomask Technology, SPIE Vol. 67- and is made available

More information

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning SEMI Texas Spring Forum May 21, 2013 Austin, Texas Author / Company / Division / Rev. / Date A smartphone today has

More information

Public Disclosure Copy

Public Disclosure Copy Public Disclosure Authorized SOUTH ASIA Nepal Agriculture Global Practice IBRD/IDA Investment Project Financing FY 2008 Seq No: 23 ARCHIVED on 26-Jul-2018 ISR33099 Implementing Agencies: Poverty Alleviation

More information

More on Stochastics and the Phenomenon of Line-Edge Roughness

More on Stochastics and the Phenomenon of Line-Edge Roughness More on Stochastics and the Phenomenon of Line-Edge Roughness Chris A. Mack 34 th International Photopolymer Science and Technology Conference Chiba, Japan, June 28, Conclusions We need more than just

More information

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press Errata, by Chris Mack, chris@lithoguru.com While teaching out of this book at the University

More information

Thermal Expansion property and Surface Finish capability of CLEARCERAM -Z series for EUVL Photomask Substrate Application

Thermal Expansion property and Surface Finish capability of CLEARCERAM -Z series for EUVL Photomask Substrate Application Thermal Expansion property and Surface Finish capability of CLEARCERAM -Z series for EUVL Photomask Substrate Application Kousuke Nakajima, Toshihide Nakajima, Yoshiyuki Owari OHARA Incorporated 3 rd International

More information

YACT (Yet Another Climate Tool)? The SPI Explorer

YACT (Yet Another Climate Tool)? The SPI Explorer YACT (Yet Another Climate Tool)? The SPI Explorer Mike Crimmins Assoc. Professor/Extension Specialist Dept. of Soil, Water, & Environmental Science The University of Arizona Yes, another climate tool for

More information

The World Bank. Key Dates. Project Development Objectives. Components. Overall Ratings. Public Disclosure Authorized

The World Bank. Key Dates. Project Development Objectives. Components. Overall Ratings. Public Disclosure Authorized Public Disclosure Authorized AFRICA Mali Agriculture and Rural Development Global Practice IBRD/IDA Specific Investment Loan FY 2006 Seq No: 21 ARCHIVED on 13-Jan-2015 ISR17735 Implementing Agencies: Public

More information

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

Methodology of modeling and simulating line-end shortening effects in deep-uv resist Methodology of modeling and simulating line-end shortening effects in deep-uv resist Mosong Cheng*, Ebo Croffie, Andrew Neureuther Electronics Research Laboratory Department of Electrical Engineering and

More information

Kiribati Adaptation Phase III (LDCF) (P112615)

Kiribati Adaptation Phase III (LDCF) (P112615) Public Disclosure Authorized EAST ASIA AND PACIFIC Kiribati Social, Urban, Rural and Resilience Global Practice Global Practice Global Environment Project Specific Investment Loan FY 2012 Seq No: 10 ARCHIVED

More information

2018 Project Prioritization and Budgeting Process

2018 Project Prioritization and Budgeting Process 2018 Prioritization and Budgeting Process Brian Hurysz Manager, Market Products Budget and Priorities Working Group August 18, 2017, Krey Corporate Center Agenda 2018 Prioritization Process Prioritization

More information

Proposal to limit Namakan Lake to 1970 Upper Rule Curve for remainder of summer

Proposal to limit Namakan Lake to 1970 Upper Rule Curve for remainder of summer July 7, 214 Subject: Proposal to limit Namakan Lake to 197 Upper Rule Curve for remainder of summer Background: Flooding in 214 has resulted in the highest water levels on Namakan Lake since 1968, and

More information

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Senichi Nishibe a, Thaddeus Dziura a, Venkat Nagaswami a, Roel Gronheid b a KLA-Tencor Corporation, 1 Technology Drive, Milpitas

More information

EBS IT Meeting July 2016

EBS IT Meeting July 2016 EBS IT Meeting 18 19 July 2016 Conference Call Details Conference call: UK Numbers Tel: 0808 238 9819 or Tel: 0207 950 1251 Participant code: 4834 7876... Join online meeting https://meet.nationalgrid.com/antonio.delcastillozas/hq507d31

More information

Update on Development of High Current Bunched Electron Beam from Magnetized DC Photogun

Update on Development of High Current Bunched Electron Beam from Magnetized DC Photogun Update on Development of High Current Bunched Electron Beam from Magnetized DC Photogun JLEIC Collaboration Meeting October 6, 2016 Riad Suleiman and Matt Poelker Magnetized Cooling JLEIC bunched magnetized

More information

The World Bank Local and Regional Competitiveness Project (P154263)

The World Bank Local and Regional Competitiveness Project (P154263) Public Disclosure Authorized EUROPE AND CENTRAL ASIA Macedonia, former Yugoslav Republic of Trade & Competitiveness Global Practice Recipient Executed Activities Investment Project Financing FY 2016 Seq

More information

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source Eric Gullikson Lawrence Berkeley National Laboratory 1 Reflectometry and Scattering Beamline (ALS 6.3.2) Commissioned Fall 1994

More information

SHRINK. STACK. INTEGRATE.

SHRINK. STACK. INTEGRATE. SHRINK. STACK. INTEGRATE. SUSS MICROTEC PRODUCT PORTFOLIO SHAPING THE FUTURE With more than 60 years of engineering experience SUSS MicroTec is a leading supplier of process equipment for microstructuring

More information

Nanoparticle Contamination Control in EUVL Systems: Carrier, Scanner and Metrology -- A Review

Nanoparticle Contamination Control in EUVL Systems: Carrier, Scanner and Metrology -- A Review Nanoparticle Contamination Control in EUVL Systems: Carrier, Scanner and Metrology -- A Review David Y. H. Pui Distinguished McKnight University Professor LM Fingerson/TSI Inc Chair in Mechanical Engineering

More information

Current Status of Inorganic Nanoparticle Photoresists

Current Status of Inorganic Nanoparticle Photoresists Prof. Christopher K. ber Department of Materials Science and Engineering, Cornell University, Ithaca NY Current Status of Inorganic Nanoparticle Photoresists Markos Trikeriotis, Marie Krysak, Yeon Sook

More information

The World Bank Cities and Climate Change (P123201)

The World Bank Cities and Climate Change (P123201) Public Disclosure Authorized AFRICA Mozambique Social, Urban, Rural and Resilience Global Practice Global Practice IBRD/IDA Investment Project Financing FY 2012 Seq No: 13 ARCHIVED on 17-May-2018 ISR31517

More information

BUSI 460 Suggested Answers to Selected Review and Discussion Questions Lesson 7

BUSI 460 Suggested Answers to Selected Review and Discussion Questions Lesson 7 BUSI 460 Suggested Answers to Selected Review and Discussion Questions Lesson 7 1. The definitions follow: (a) Time series: Time series data, also known as a data series, consists of observations on a

More information