A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target

Size: px
Start display at page:

Download "A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target"

Transcription

1 A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target Takeshi Higashiguchi Keita Kawasaki, Naoto Dojyo, Masaya Hamada, Wataru Sasaki, and Shoichi Kubodera Department of Electrical & Electronic Engineering and Photon Science Center, University of Miyazaki, Miyazaki, Japan Work supported by MEXT (Ministry of Education, Culture, Science and Technology, Japan) under contract subject Leading project for EUV lithography source development - Gakuen Kibanadai, Miyazaki, Miyazaki , JAPAN, TEL/FAX:

2 Background: EUV light source for the next generation lithography Engineering Test Stand Bill Replogle, Sandia National Laboratories International Technology Roadmap for Semiconductors (ITRS) Proc. SPIE 4688, 3 (22) D. Attwood, Soft X-Rays and Extreme Ultraviolet Radiation (Cambridge University Press, 2).

3 Joint requirements for EUV source: 3.5 nm (2%BW), 5 W, 7-7 khz Joint Requirements for EUV Source SOURCE CHARACTERISTICS REQUIREMENTS Wavelength 3.5 (nm) EUV Power (in-band) 5 (W) (after intermediate focus) Repetition Frequency > 7 - khz * Integrated Energy Stability ±.3% (3σ over 5 pulses) Source Cleanliness 3, hours (after intermediate focus) Etendue of Source Output 3.3 mm 2 sr (max)* Maximum Solid Angle to Illuminator.3.2 (sr) (depending on particular optical scheme)* Spectral Purity 3-4 nm (DUV/VUV) TBD 7% (design dependent)* > 4 nm (Vis/IR) TBD * Not agreed among participants Kazuya Ota, Source Workshop, Santa Clara, CA, USA (23).

4 Emission intensity (arb. units).5.5 EUV emission spectra from possible plasma materials Sn Xe.5 Li Wavelength (nm) EUV CE (%) 3 rd International EUVL Symposium Date : November - 4, 24 Venue : Sheraton Grande Ocean Resort Miyazaki, JAPAN Committee : Conference chairperson : Prof. Y. Horiike Program committee chairperson : Dr. S. Okazaki Deadline of abstract submission : July st, URL : info@euva.or.jp 2 3 Laser intensity (W/cm 2 ) The Optimal Source Path to HVM D. Myers, B. Llene, I. Fomenkov, B. Hansson, and B. Bolliger (CYMER)

5 Schematic diagram of experimental setup using planar Li target Wavelength: 64 nm Laser energy : <.4 J Pulse width: ns (FWHM) Spot size: 3 μmφ EUV energy meter (Mo/Si mirror) τ delay ω 2ω 5 mj 6 mj ns 8 ns Dual laser pulses Lens f = 5 cm Spectrometer (2 lines/mm) XRD Faraday Cup Li planar target Pumping outlet Focal spot CCD

6 EUV spectrum of a laser-produced lithium plasma Laser intensity: 7 x W/cm 2 Laser energy : 5 mj Pulse width: ns (FWHM) Spot size: 3 μmφ Intensity (arb. units) Wavelength (nm)

7 Laser intensity dependence of EUV CE using a single laser pulse EUV CE (%).5.5 Li Sn Laser intensity (x W/cm 2 ) Intensity (arb. units) Angular distribution cos.5 θ Angle (degrees) T. Higashiguchi et al., (submitted).

8 Estimation of an electron temperature using bound-free transitions in Li 2+ ions Electron temperature (ev) Laser intensity (x W/cm 2 ) Emission due to bound-free transitions Intensity (arb. units) T e ~ 25 ev Wavelength (nm) Y. B. Zel'dovich and Y. P. Raizer, Physics of shock waves and high-temperature hydrodynamic phenomena (Dover Publication, Inc., New York, Mineola, 966).

9 Pulse separation time dependence of EUV CE utilizing dual laser pulses Pre-pulse: 532 nm, 6 mj, 8 ns (< 2 x W/cm 2 ) Main pulse: 64 nm, 5 mj, ns, 3 μm (7 x W/cm 2 ) Optimum delay separation time: 2-5 ns 3 EUV CE (%) 2 T. Higashiguchi et al., (submitted) Pulse separation time (ns)

10 Ratio of electron temperature as a function of pulse separation time Pre-pulse: 532 nm, 6 mj, 8 ns (< 2 x W/cm 2 ) Main pulse: 64 nm, 5 mj, ns, 3 μm (7 x W/cm 2 ) Ratio of electron temperature Pulse separation time (ns) CR model Ion population Li + Li n e = x 2 cm -3 Li 2+ Li Electron temperature (ev) T. Higashiguchi et al., (submitted). [] D. Colomband and G. F. Tonon, J. Appl. Phys. 44, pp (973). [2] M. J. Bernstein and G. G. Comisar, J. Appl. Phys. 4, pp. 729 (97).

11 Objective: Enhancement of the EUV CE Enhancement of the EUV CE by use of dual laser pulses Plasma hydrodynamics of a Li plasma should be regulated. Optimum Li plasma parameters could thus be realized.

12 Experimental setup using ultrashort, high- intensity laser pulses Ti:Sapphire laser pulse Adjustable pulse width Compressor Spectrometer EUV energy meter 25 mj τ pulse fs- ps 7 ps LPP Adjustable separation time Michelson interferometer HM M M2 3 fs x 5 W/cm mj 6 x 3 W/cm mj τ delay = -8 ps Lens f = 5 mm Li-based microjet target (8 μmφ, 44%) Pumping outlet

13 EUV spectra from a Li-contained plasma at different laser intensities Li concentration (by mass): 44.4% Jet diameter: 8 μmφ Laser energy: 25 mj I L = 2.8 x 5 W/cm 2 I L =.8 x 2 W/cm Intensity (arb. units) Cl 9+ O 5+ (3d-2p) Li 2+ (Ly-β) O 5+ (4d-2p) O 5+ (4p-2s) O 5+ (3d-2s) Li 2+ (Ly-α) Wavelength (nm) Intensity (arb. units) Cl 9+ O 5+ (4p-2s) O 5+ (3d-2s) O 5+ (4d-2p) O 5+ (3d-2p) Li 2+ (Ly-α) Wavelength (nm)

14 Subpicosecond pulse width dependence of the EUV CE Li concentration (by mass): 44.4% Jet diameter: 8 μmφ Laser energy: 25 mj 3.5 nm EUV CE (a.u.) nm (2%BW) Pulse width (ps) APL 86, 2352 (25)

15 Optimum pulse width explained by the resonant absorption process Resonant absorption is dominant in a steepened density profile. Absorption thickness 3 3 Lcr = Lopt 3 8k cos θ L c opt S τ pulse c S 2 x 7 cm/s, λ = 2π/k =.8 μm, L opt 82 nm for θ = τ pulse 4 fs [] C. Garban-Labaune, E. Fabre, C. E. Max, R. Fabbro, F. Amiranoff, J. Virmont, M. Weinfeld, A. Michard, Phys. Rev. Lett. 48, 8 (982). [2] V. L. Ginzburg, Propagation of Electromagnetic Waves in Plasmas (Pergamon, New York, 97). [3] E. Parra, I. Alexeev, J. Fan, K. Y. Kim, S. J. McNaught, and H. M. Milchberg, Phys. Rev. E 62, R593 (2).

16 Enhancement of the EUV CE by use of dual subpicosecond laser pulses Soft x-ray CE (%) Exp. 3.5 nm (2%BW, 2π sr) Cal. (hydro-code) Pulse separation time (ps) A one-fluid two-temperature Lagrangian code well reproduced the experiments. - Plasma hydrodynamic - Atomic code - Plasma emission APL 86, 2352 (25)

17 Optimum delay time explained by the plasma expansion (simpler estimate) n e = cm -3 n crit =.7 x 2 cm -3 r Jet = 4 μm (2r Jet = 8 μm) v exp c s 2 x 7 cm/s τ exp 4 ps

18 Experimental setup using dual nanosecond laser pulses Intensity (a.u.) 2 pre-pulse (532 nm) EUV spectrum Wavelength (nm) EUV energy meter (Mo/Si mirror) ω 2ω A 2ω prepulse was focused loosely enough into the jet target to produce a plasma but not to produce EUV emission. τ delay Lens f = 3 mm ω 2ω 7 mj mj ns 8 ns Dual laser pulses ( Hz) Spectrometer (2 lines/mm) I main = 3 x W/cm 2 (75 μm spot) Li-based microjet target (7 μmφ, 45%) Focal spot CCD Energy meter Pumping outlet

19 Enhancement of the EUV CE by use of dual nanosecond laser pulses.5 EUV CE (%) % APB 8, 49 (25). 3.5 nm (2%BW, 2π sr) Pulse separation time (ns) A plasma expansion time to its critical density was responsible for the optimal pulse separation time. τ crit n n e crit / 3 r v Jet Exp 8 ns n e = 23 cm -3 n cric = 2 cm L = μm 2r Jet = 7 μm v Exp = 5 x 5 cm/s@ 2 cm -3

20 Optimum plasma conditions obtained for the Lyman-α emission at 3.5 nm Intensity (arb. units) Li 2+ (Ly-β) O 5+ (4p-2s) O 5+ (4d-2p) Li 2+ (Ly-α) w/o pre-pulse w/ pre-pulse O 5+ (3d-2s) Wavelength (nm) Optimum dual laser parameters produced a plasma where Li 3.5 nm emission became dominant. APB 8, 49 (25). Ion current (arb. units) CR model Ion velocity (x 7 cm/s) Population Population Li Electron temperature (ev) Li 2+ (3.5 nm) population: T e 8 ev O 5+ (3. nm) population: T e 25 ev Li + w/o pre-pulse w/ pre-pulse (Δτ = ns) Li 2+ Li 3+ O O+ O 2+ O 3+ O 4+ O 5+ O 6+

21 Summary Optimum dual laser parameters for the EUV CE enhancement Subpicosecond pulse width dependence revealed the optimal prepulse width of 4 fs, which corresponded to resonance absorption time of a short scale-length plasma. Dual laser pulse irradiation increased the in-band EUV CE from.8% to.2% for subpicosecond laser pulses, and from.5% to.5% for nanosecond laser pulses. Pulse separation time dependence indicated the optimum time separation of 5 ps and ns for subpicosecond and nanosecond laser pulses, respectively, corresponding to the plasma density decrease to its critical density due to the plasma expansion. The difference of the optimum delay time is mainly due to the difference of the plasma expansion velocities determined by different laser intensities.

Efficient EUV source by use of a micro-target containing tin nanoparticles

Efficient EUV source by use of a micro-target containing tin nanoparticles 2008 International Workshop on EUV Lithography Efficient EUV source by use of a micro-target containing tin nanoparticles Takeshi Higashiguchi higashi@cc.utsunomiya-u.ac.jp Utsunomiya University, Japan

More information

Rare-earth plasma extreme ultraviolet sources at nm for next generation semiconductor lithography

Rare-earth plasma extreme ultraviolet sources at nm for next generation semiconductor lithography Rare-earth plasma extreme ultraviolet sources at 6.5-6.7 nm for next generation semiconductor lithography Takeshi Higashiguchi 1 Takamitsu Otsuka 1, Deirdre Kilbane 3, John White 3, Noboru Yugami 1,2,

More information

Laser heating of noble gas droplet sprays: EUV source efficiency considerations

Laser heating of noble gas droplet sprays: EUV source efficiency considerations Laser heating of noble gas droplet sprays: EUV source efficiency considerations S.J. McNaught, J. Fan, E. Parra and H.M. Milchberg Institute for Physical Science and Technology University of Maryland College

More information

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application EUV light source plasma Tin icrodroplet Main pulse (CO2 laser pulse) Pre-pulse (Nd:YAG laser

More information

Utsunomiya University Experiments, September - November 2011

Utsunomiya University Experiments, September - November 2011 Colm O Gorman 1, Thomas Cummins 1, Takamitsu Otsuka 2, Noboru Yugami 2,4,Weihua Jiang 5, Akira Endo 6, Bowen Li 1, Padraig Dunne 1,Emma Sokell 1, Gerry O Sullivan 1 and Takeshi Higashiguchi 2,4 Utsunomiya

More information

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source 3rd International EUVL Symposium NOVEMBER 1-4, 2004 Miyazaki, Japan Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source H. Tanaka, A. Matsumoto, K. Akinaga, A. Takahashi

More information

Progress in LPP EUV Source Development by Japan MEXT Project

Progress in LPP EUV Source Development by Japan MEXT Project Progress in LPP EUV Source Development by Japan MEXT Project Y. Izawa, N. Miyanaga, H. Nishimura, S. Fujioka, T. Aota, K. Nagai, T. Norimatsu,K. Nishihara, M. Murakami, Y. -G. Kang, M. Nakatsuka, H. Fujita,

More information

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Yezheng Tao*, Mark Tillack, Kevin Sequoia, Russel Burdt, Sam Yuspeh, and Farrokh Najmabadi University of California, San Diego

More information

EUV Source Developments on Laser-Produced Plasmas using Lithium New Scheme Target

EUV Source Developments on Laser-Produced Plasmas using Lithium New Scheme Target San Diego, 25.11.7-9 EUV Source Developments on Laser-Produced Plasmas using thium New Scheme Target Shuji MIYAMOTO, Sho AMANO, Takahiro INOUE Petru-Edward NICA, Atsushi SHIMOURA Kakyo KAKU, and Takayasu

More information

EUV lithography and Source Technology

EUV lithography and Source Technology EUV lithography and Source Technology History and Present Akira Endo Hilase Project 22. September 2017 EXTATIC, Prague Optical wavelength and EUV (Extreme Ultraviolet) VIS 13.5nm 92eV Characteristics of

More information

Optimization of laser-produced plasma light sources for EUV lithography

Optimization of laser-produced plasma light sources for EUV lithography page 1 of 17 Optimization of laser-produced plasma light sources for EUV lithography M. S. Tillack and Y. Tao 1 University of California, San Diego Center for Energy Research 1 Currently at Cymer Inc.

More information

Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography

Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography P10 Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography A. Sunahara 1 K. Nishihara 2 A. Sasaki 3 1 Institute for Laser Technology (ILT) 2 Institute of Laser

More information

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source 1 Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source D. Nakamura, T. Akiyama, K. Tamaru, A. Takahashi* and T. Okada Graduate School of Information Science and Electrical Engineering,

More information

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas I. Kambali, G. Atom O Sullivan Indonesia / Atom Vol. Indonesia 4 No. 2 (24) Vol. 47 No. - 2 (24) 7 - Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas I. Kambali * and G. O Sullivan

More information

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS Chapter 7 EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS Hot dense plasma lasing medium d θ λ λ Visible laser pump Ch07_00VG.ai The Processes of Absorption, Spontaneous Emission, and Stimulated Emission Absorption

More information

Development of Radiation Hydrodynamic code STAR for EUV plasmas. Atsushi Sunahara. Institute for Laser Technology

Development of Radiation Hydrodynamic code STAR for EUV plasmas. Atsushi Sunahara. Institute for Laser Technology Development of Radiation Hydrodynamic code STAR for EUV plasmas Atsushi Sunahara suna@ile.osaka-u.ac.jp Institute for Laser Technology 2013 International Workshop on EUV and Soft x-ray Sources University

More information

Comparison of EUV spectral and ion emission features from laserproduced

Comparison of EUV spectral and ion emission features from laserproduced Comparison of EUV spectral and ion emission features from laserproduced and plasmas R. W. Coons, D. Campos, M. Crank, S. S. Harilal, and A. Hassanein School of Nuclear Engineering, and Center for Materials

More information

Evaluation at the intermediate focus for EUV Light Source

Evaluation at the intermediate focus for EUV Light Source Evaluation at the intermediate focus for EUV Light Source Takashi Suganuma, Georg Soumagne, Masato Moriya, Tamotsu Abe, Akira Sumitani, Akira Endo Extreme Ultraviolet Lithography System Development Association

More information

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources A. Hassanein, V. Sizyuk, S.S. Harilal, and T. Sizyuk School of Nuclear Engineering and Center

More information

Plasma EUV source has been studied to achieve 180W of power at λ=13.5nm, which is required for the next generation microlithography

Plasma EUV source has been studied to achieve 180W of power at λ=13.5nm, which is required for the next generation microlithography Acknowledgement K. Nishihara, H. Nishimura, S. Fujioka Institute for Laser Engineering, Osaka University A. Sunahara, H. Furukawa Institute for Laser Technology T. Nishikawa, Okayama University F. Koike,

More information

Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source!

Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source! Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source! H. Nishimura 1, H. Matsukuma 1, K. Yoshida 1, T. Hosoda 1, A. Yogo 1,! N. Tanaka 1, S. Fujioka 1, K. Nishihara 1,! A.

More information

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source Introduction and Outline A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source Rainer Lebert, AIXUV Target Features of High Brightness EUV Source LPP Concept to reach Specification Target

More information

PIC simulations of laser interactions with solid targets

PIC simulations of laser interactions with solid targets PIC simulations of laser interactions with solid targets J. Limpouch, O. Klimo Czech Technical University in Prague, Faculty of Nuclear Sciences and Physical Engineering, Břehová 7, Praha 1, Czech Republic

More information

Optimization of EUV Lithography Plasma Radiation Source Characteristics Using HELIOS-CR

Optimization of EUV Lithography Plasma Radiation Source Characteristics Using HELIOS-CR Optimization of EUV Lithography Plasma Radiation Source Characteristics Using HELIOS-CR J. J. MacFarlane, P. Wang, I. E. Golovkin, P. R. Woodruff Prism Computational Sciences, Inc. Madison, WI (USA) http://www.prism-cs.com

More information

CHARACTERISTICS OF ION EMISSION FROM CO 2 /Nd:YAG LPP WITH TIN TARGET

CHARACTERISTICS OF ION EMISSION FROM CO 2 /Nd:YAG LPP WITH TIN TARGET CHARACTERISTICS OF ION EMISSION FROM CO 2 /Nd:YAG LPP WITH TIN TARGET Akihiko Takahashi 1, Hiroki Tanaka 2, Atsushi Matsumoto 2, Yuuki Hashimoto 2, Kiichiro Uchino 3, Tatsuo Okada 2 1 Department of Health

More information

Status of EUV Sources for Mask Metrology

Status of EUV Sources for Mask Metrology Status of EUV Sources for Mask Metrology Vivek Bakshi, Ph.D. EUV Litho Inc. 10202 Womack Road, Austin, TX 78748 USA www.euvlitho.com vivek.bakshi@euvlitho.com Outline Background Current Technology Status

More information

Supplemental material for Bound electron nonlinearity beyond the ionization threshold

Supplemental material for Bound electron nonlinearity beyond the ionization threshold Supplemental material for Bound electron nonlinearity beyond the ionization threshold 1. Experimental setup The laser used in the experiments is a λ=800 nm Ti:Sapphire amplifier producing 42 fs, 10 mj

More information

Research Article Development of Laser-Produced Tin Plasma-Based EUV Light Source Technology for HVM EUV Lithography

Research Article Development of Laser-Produced Tin Plasma-Based EUV Light Source Technology for HVM EUV Lithography Physics Research International Volume, Article ID 49495, pages doi:.55//49495 Research Article Development of Laser-Produced Tin Plasma-Based EUV Light Source Technology for HVM EUV Lithography Junichi

More information

Richard Miles and Arthur Dogariu. Mechanical and Aerospace Engineering Princeton University, Princeton, NJ 08540, USA

Richard Miles and Arthur Dogariu. Mechanical and Aerospace Engineering Princeton University, Princeton, NJ 08540, USA Richard Miles and Arthur Dogariu Mechanical and Aerospace Engineering Princeton University, Princeton, NJ 08540, USA Workshop on Oxygen Plasma Kinetics Sept 20, 2016 Financial support: ONR and MetroLaser

More information

Important processes in modeling and optimization of EUV lithography sources

Important processes in modeling and optimization of EUV lithography sources Important processes in modeling and optimization of UV lithography sources T. Sizyuk and A. Hassanein Center for Materials under xtreme nvironment, School of Nuclear ngineering Purdue University, West

More information

Influence of an intensive UV preionization on evolution and EUV-emission of the laser plasma with Xe gas target (S12)

Influence of an intensive UV preionization on evolution and EUV-emission of the laser plasma with Xe gas target (S12) Influence of an intensive UV preionization on evolution and EUV-emission of the laser plasma with Xe gas target (S12) 2013 Int. Workshop on EUV and Soft X-ray Sources UCD, Dublin, November 4-7, 2013 A.Garbaruk

More information

UC San Diego EUV Lithography Group Progress Report

UC San Diego EUV Lithography Group Progress Report University of California, San Diego UCSD-CER-10-02 UC San Diego EUV Lithography Group Progress Report M. S. Tillack, Y. Tao, F. Najmabadi, L. Carlson, S. Yuspeh, R. Burdt, A. Farkas, N. Shaikh, N. Amin,

More information

Measurement of EUV scattering from Mo/Si multilayer mirrors

Measurement of EUV scattering from Mo/Si multilayer mirrors Measurement of EUV scattering from Mo/Si multilayer mirrors N. Kandaka, T. Kobayashi, T. Komiya, M. Shiraishi, T. Oshino and K. Murakami Nikon Corp. 3 rd EUVL Symposium Nov. 2-4 2004 (Miyazaki, JAPAN)

More information

Generation of surface electrons in femtosecond laser-solid interactions

Generation of surface electrons in femtosecond laser-solid interactions Science in China: Series G Physics, Mechanics & Astronomy 2006 Vol.49 No.3 335 340 335 DOI: 10.1007/s11433-006-0335-5 Generation of surface electrons in femtosecond laser-solid interactions XU Miaohua

More information

Opacity effect on extreme ultraviolet radiation from laser-produced tin plasmas

Opacity effect on extreme ultraviolet radiation from laser-produced tin plasmas Physics Physics fields Okayama University Year 2005 Opacity effect on extreme ultraviolet radiation from laser-produced tin plasmas Shinsuke Fujioka, Osaka University Hiroaki Nishimura, Osaka University

More information

Spectral analysis of K-shell X-ray emission of magnesium plasma produced by ultrashort high-intensity laser pulse irradiation

Spectral analysis of K-shell X-ray emission of magnesium plasma produced by ultrashort high-intensity laser pulse irradiation PRAMANA c Indian Academy of Sciences Vol. 82, No. 2 journal of February 2014 physics pp. 365 371 Spectral analysis of K-shell X-ray emission of magnesium plasma produced by ultrashort high-intensity laser

More information

Laser Ablation for Chemical Analysis: 50 Years. Rick Russo Laser Damage Boulder, CA September 25, 2012

Laser Ablation for Chemical Analysis: 50 Years. Rick Russo Laser Damage Boulder, CA September 25, 2012 Laser Ablation for Chemical Analysis: 50 Years Rick Russo Lawrence Berkeley National Laboratory Applied Spectra, Inc 2012 Laser Damage Boulder, CA September 25, 2012 Laser Ablation for Chemical Analysis:

More information

Part XI. Optimising Femtosecond K α Sources

Part XI. Optimising Femtosecond K α Sources Part XI Optimising K α Sources 333 / 353 K α sources Goals: maximize # photons < 100 fs pulse length minimize spot size (magnification) maximize throughput (ave. power) 334 / 353 Applications of femtosecond

More information

stabilized 10-fs lasers and their application to laser-based electron acceleration

stabilized 10-fs lasers and their application to laser-based electron acceleration Carrier-envelope envelope-phase-stabilized stabilized sub-10 10-fs lasers and their application to laser-based electron acceleration L. Veisz, E. Goulielmakis, A. Baltuška, and F. Krausz Vienna University

More information

Set-up for ultrafast time-resolved x-ray diffraction using a femtosecond laser-plasma kev x-ray-source

Set-up for ultrafast time-resolved x-ray diffraction using a femtosecond laser-plasma kev x-ray-source Set-up for ultrafast time-resolved x-ray diffraction using a femtosecond laser-plasma kev x-ray-source C. Blome, K. Sokolowski-Tinten *, C. Dietrich, A. Tarasevitch, D. von der Linde Inst. for Laser- and

More information

plasma optics Amplification of light pulses: non-ionised media

plasma optics Amplification of light pulses: non-ionised media Amplification of light pulses: non-ionised media since invention of laser: constant push towards increasing focused intensity of the light pulses Chirped pulse amplification D. Strickland, G. Mourou, Optics

More information

Construction of a 100-TW laser and its applications in EUV laser, wakefield accelerator, and nonlinear optics

Construction of a 100-TW laser and its applications in EUV laser, wakefield accelerator, and nonlinear optics Construction of a 100-TW laser and its applications in EUV laser, wakefield accelerator, and nonlinear optics Jyhpyng Wang ( ) Institute of Atomic and Molecular Sciences Academia Sinica, Taiwan National

More information

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools Stephen F. Horne, Matthew M. Besen, Matthew J. Partlow, Donald K. Smith, Paul A. Blackborow, Deborah S. Gustafson Agenda Background

More information

Volume Production of D - Negative Ions in Low-Pressure D 2 Plasmas - Negative Ion Densities versus Plasma Parameters -

Volume Production of D - Negative Ions in Low-Pressure D 2 Plasmas - Negative Ion Densities versus Plasma Parameters - Volume Production of D - Negative Ions in Low-Pressure D 2 Plasmas - Negative Ion Densities versus Plasma Parameters - Osamu Fukumasa and Shigefumi Mori Department of Electrical and Electronic Engineering,

More information

EXTREME ULTRAVIOLET (EUV) lithography (EUVL)

EXTREME ULTRAVIOLET (EUV) lithography (EUVL) 714 IEEE TRANSACTIONS ON PLASMA SCIENCE, VOL. 38, NO. 4, APRIL 2010 Interaction of a CO 2 Laser Pulse With Tin-Based Plasma for an Extreme Ultraviolet Lithography Source Yezheng Tao, Mark S. Tillack, Sam

More information

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION Principles and Applications DAVID ATTWOOD UNIVERSITY OF CALIFORNIA, BERKELEY AND LAWRENCE BERKELEY NATIONAL LABORATORY CAMBRIDGE UNIVERSITY PRESS Contents

More information

Femtosecond laser microfabrication in. Prof. Dr. Cleber R. Mendonca

Femtosecond laser microfabrication in. Prof. Dr. Cleber R. Mendonca Femtosecond laser microfabrication in polymers Prof. Dr. Cleber R. Mendonca laser microfabrication focus laser beam on material s surface laser microfabrication laser microfabrication laser microfabrication

More information

Peculiarities of Modeling LPP Source at 6.X nm

Peculiarities of Modeling LPP Source at 6.X nm V.Novikov, V.Ivanov, K.Koshelev, V.Krivtsun, A.Grushin, R.Kildiyarova, A.Solomyannaya Peculiarities of Modeling LPP Source at 6.X nm Outline Theoretical base Optimal plasma parameters Band position Scaling

More information

Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas

Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas Sergey V. Zakharov +, Peter Choi, Vasily S. Zakharov NANO UV sas EPPRA sas + also with RRC Kurchatov Institute,

More information

Towards 100 MeV proton generation using ultrathin targets irradiated with petawatt laser pulses

Towards 100 MeV proton generation using ultrathin targets irradiated with petawatt laser pulses IZEST_Tokyo 2013.11.18 Towards 100 MeV proton generation using ultrathin targets irradiated with petawatt laser pulses Chang Hee Nam 1,2, I J. Kim 1,3, H. T. Kim 1,3, I. W. Choi 1,3, K. H. Pae 1,3, C.

More information

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine Content EUV lithography: History and status EUV sources- historical perspective: Age of choice Age of Xe Age of Sn Age

More information

Emission characteristics of debris from CO 2 and Nd:YAG laser-produced tin plasmas for extreme ultraviolet lithography light source

Emission characteristics of debris from CO 2 and Nd:YAG laser-produced tin plasmas for extreme ultraviolet lithography light source Appl. Phys. B 92, 73 77 (2008) DOI: 10.1007/s00340-008-3068-5 Applied Physics B Lasers and Optics a. takahashi 1, d. nakamura 2 k. tamaru 2 t. akiyama 2 t. okada 2 Emission characteristics of debris from

More information

Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target

Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target M. Vrbova 1, P. Vrba 2, S.V. Zakharov 3, V.S. Zakharov 4, M. Müller 5, D. Pánek 1, T. Parkman 1, P.Brůža 1 1 Czech Technical

More information

Wavefront metrology and beam characterization in the EUV/soft X-ray spectral range

Wavefront metrology and beam characterization in the EUV/soft X-ray spectral range 2 nd Swedish-German Workshop on X-Ray Optics HZB Berlin-Adlershof, 28-30 April 2015 Wavefront metrology and beam characterization in the EUV/soft X-ray spectral range K. Mann J.O. Dette, J. Holburg, F.

More information

Proton acceleration in thin foils with micro-structured surface

Proton acceleration in thin foils with micro-structured surface Proton acceleration in thin foils with micro-structured surface J. Pšikal*, O. Klimo*, J. Limpouch*, J. Proška, F. Novotný, J. Vyskočil Czech Technical University in Prague, Faculty of Nuclear Sciences

More information

Studies of high-repetition-rate laser plasma EUV sources from droplet targets e. turcu 2

Studies of high-repetition-rate laser plasma EUV sources from droplet targets e. turcu 2 Appl. Phys. A 77, 217 221 (2003) DOI: 10.1007/s00339-003-2142-4 Applied Physics A Materials Science & Processing c. keyser 1, g. schriever 1, m. richardson 1, Studies of high-repetition-rate laser plasma

More information

Inves&ga&on of atomic processes in laser produced plasmas for the short wavelength light sources

Inves&ga&on of atomic processes in laser produced plasmas for the short wavelength light sources Inves&ga&on of atomic processes in laser produced plasmas for the short wavelength light sources Akira Sasaki Quantum Beam Science Directorate Japan Atomic Energy Agency Introduc&on EUV source at λ=6.5nm

More information

Laser plasma EUVL sources progress and challenges

Laser plasma EUVL sources progress and challenges Laser plasma EUVL sources progress and challenges M. Richardson, C-S. Koay, K. Takenoshita, C. Keyser *, S. George, S. Teerawattansook Laser Plasma Laboratory, School of Optics: CREOL & FPCE University

More information

Spectral control of emissions from Sn-doped targets for EUV lithography

Spectral control of emissions from Sn-doped targets for EUV lithography University of California, San Diego UCSD-CER-05-05 Spectral control of emissions from Sn-doped targets for EUV lithography S. S. Harilal, B. O Shay, M. S. Tillack and Y. Tao August 2005 Center for Energy

More information

Padraig Dunne, UCD School of Physics Dublin, Ireland.

Padraig Dunne, UCD School of Physics Dublin, Ireland. Padraig Dunne, UCD School of Physics Dublin, Ireland. Contents Zurich Prague Dublin Padova Carl Zeiss Aachen ASML IMEC EPPRA Xtreme ISAN ISAN Progress in on line MLM carbon cleaning Progress in radiative

More information

Ultrafast laser-plasma sources for 50-fs hard x-ray pulse generation and laser pump x-ray probe measurements of solvated transition metal complexes

Ultrafast laser-plasma sources for 50-fs hard x-ray pulse generation and laser pump x-ray probe measurements of solvated transition metal complexes Ultrafast laser-plasma sources for 50-fs hard x-ray pulse generation and laser pump x-ray probe measurements of solvated transition metal complexes ERL Workshop, Cornell University June 2006 C. Rose-Petruck

More information

Pulsed-power based bright EUV light source for metrology

Pulsed-power based bright EUV light source for metrology Pulsed-power based bright EUV light source for metrology Sergey V. Zakharov NaextStream sas, Buc, France sergey.zakharov@naextstream.com + also with NRC Kurchatov Institute, Moscow, Russia 1 Sources for

More information

Surface Properties of EUVL. Laser Shock Cleaning (LSC)

Surface Properties of EUVL. Laser Shock Cleaning (LSC) 2007 International EUVL Symposium, Japan Surface Properties of EUVL Mask Layers after High Energy Laser Shock Cleaning (LSC) Tae-Gon Kim, Young-Sam Yoo, Il-Ryong Son, Tae-Geun Kim *, Jinho Ahn *, Jong-Myoung

More information

High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources *

High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources * High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources * J.D. Hybl**, T.Y. Fan, W.D. Herzog, T.H. Jeys, D.J.Ripin, and A. Sanchez 2008 International Workshop on EUV Lithography

More information

Simple strategy for enhancing terahertz emission from coherent longitudinal optical phonons using undoped GaAs/n-type GaAs epitaxial layer structures

Simple strategy for enhancing terahertz emission from coherent longitudinal optical phonons using undoped GaAs/n-type GaAs epitaxial layer structures Presented at ISCS21 June 4, 21 Session # FrP3 Simple strategy for enhancing terahertz emission from coherent longitudinal optical phonons using undoped GaAs/n-type GaAs epitaxial layer structures Hideo

More information

Characterization of the Tin-doped droplet laser plasma EUVL sources for HVM

Characterization of the Tin-doped droplet laser plasma EUVL sources for HVM Characterization of the Tin-doped droplet laser plasma EUVL sources for HVM Kazutoshi Takenoshita a, Simi A. George a, Tobias Schmid a, Chiew-Seng Koay a*, Jose Cunado a, Robert Bernath a, Christopher

More information

BEUV nanolithography: 6.7 or 11 nm?

BEUV nanolithography: 6.7 or 11 nm? BEUV nanolithography: 6.7 or 11 nm? N. I. Chkhalo, N. N. Salashchenko Institute for physics of microstructures of RAS, Nizhny Novgorod, Russia 2013 International Workshop on EUV and Soft X-Ray Dublin Ireland

More information

Single Photon Generation & Application in Quantum Cryptography

Single Photon Generation & Application in Quantum Cryptography Single Photon Generation & Application in Quantum Cryptography Single Photon Sources Photon Cascades Quantum Cryptography Single Photon Sources Methods to Generate Single Photons on Demand Spontaneous

More information

Laser trigged proton acceleration from ultrathin foil

Laser trigged proton acceleration from ultrathin foil Laser trigged proton acceleration from ultrathin foil A.V. Brantov 1, V. Yu. Bychenkov 1, D. V. Romanov 2, A. Maksimchuk 3 1 P. N. Lebedev Physics Institute RAS, Moscow 119991, Russia 2 All-Russia Research

More information

Laser and pinching discharge plasmas spectral characteristics in water window region

Laser and pinching discharge plasmas spectral characteristics in water window region Laser and pinching discharge plasmas spectral characteristics in water window region P Kolar 1, M Vrbova 1, M Nevrkla 2, P Vrba 2, 3 and A Jancarek 2 1 Czech Technical University in Prague, Faculty of

More information

Magnetic fields applied to laser-generated plasma to enhance the ion yield acceleration

Magnetic fields applied to laser-generated plasma to enhance the ion yield acceleration Magnetic fields applied to laser-generated plasma to enhance the ion yield acceleration L. Torrisi, G. Costa, and G. Ceccio Dipartimento di Scienze Fisiche MIFT, Università di Messina, V.le F.S. D Alcontres

More information

Monoenergetic Proton Beams from Laser Driven Shocks

Monoenergetic Proton Beams from Laser Driven Shocks Monoenergetic Proton Beams from Laser Driven Shocks Dan Haberberger, Department of Electrical Engineering, UCLA In collaboration with: Sergei Tochitsky, Chao Gong, Warren Mori, Chan Joshi, Department of

More information

Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation

Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation N. Brimhall *, J. C. Painter, M. Turner, S. V. Voronov, R. S. Turley, M. Ware, and J. Peatross Department of Physics

More information

ACOUSTIC EFFECTS OCCURRING IN OPTICAL BREAKDOWN WITH A LIQUID BY LASER RADIATION

ACOUSTIC EFFECTS OCCURRING IN OPTICAL BREAKDOWN WITH A LIQUID BY LASER RADIATION The 21 st International Congress on Sound and Vibration 13-17 July, 2014, Beijing/China ACOUSTIC EFFECTS OCCURRING IN OPTICAL BREAKDOWN WITH A LIQUID BY LASER RADIATION Bulanov Alexey V.I. Il'ichev Pacific

More information

Radiation hydrodynamics of tin targets for laser-plasma EUV sources

Radiation hydrodynamics of tin targets for laser-plasma EUV sources Radiation hydrodynamics of tin targets for laser-plasma EUV sources M. M. Basko, V. G. Novikov, A. S. Grushin Keldysh Institute of Applied Mathematics, Moscow, Russia RnD-ISAN, Troitsk, Moscow, Russia

More information

High intensity EUV and soft X-ray X plasma sources modelling

High intensity EUV and soft X-ray X plasma sources modelling High intensity EUV and soft X-ray X plasma sources modelling Sergey V. Zakharov +, Vasily S. Zakharov +,Peter Choi, Alex Yu. Krukovskiy, Vladimir G. Novikov, Anna D. Solomyannaya NANO UV sas EPPRA sas

More information

Particle-in-cell simulations of high energy electron production by intense laser pulses in underdense plasmas

Particle-in-cell simulations of high energy electron production by intense laser pulses in underdense plasmas Particle-in-cell simulations of high energy electron production by intense laser pulses in underdense plasmas Susumu Kato, Eisuke Miura, Mitsumori Tanimoto, Masahiro Adachi, Kazuyoshi Koyama To cite this

More information

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources Kazutoshi Takenoshita, Chiew-Seng Koay, Somsak Teerawattansook, & Martin Richardson Laser Plasma Laboratory,

More information

EUV spectroscopy of mass-limited Sn-doped laser microplasmas

EUV spectroscopy of mass-limited Sn-doped laser microplasmas EUV spectroscopy of mass-limited Sn-doped laser microplasmas Simi George, Chiew-Seng Koay, Kazutoshi Takenoshita, Robert Bernath, Moza Al-Rabban a, Christian Keyser b, Vivek Bakshi c, Howard Scott d, &

More information

Experimental and numerical investigations on the density profile of CO 2 laser-produced Sn plasma for an EUVL source

Experimental and numerical investigations on the density profile of CO 2 laser-produced Sn plasma for an EUVL source Experimental and numerical investigations on the density profile of CO 2 laser-produced Sn plasma for an EUVL source Y. Tao* a, Y.Ueno a,b, S.Yuspeh a,c, R.A.Burdt a,c, N. Amin a,d, N. M. Shaikh a,e, M.S.Tillack

More information

THz field strength larger than MV/cm generated in organic crystal

THz field strength larger than MV/cm generated in organic crystal SwissFEL Wir schaffen Wissen heute für morgen 1 2 C. Vicario 1, R. Clemens 1 and C. P. Hauri 1,2 THz field strength larger than MV/cm generated in organic crystal 10/16/12 Workshop on High Field THz science

More information

Q. Shen 1,2) and T. Toyoda 1,2)

Q. Shen 1,2) and T. Toyoda 1,2) Photosensitization of nanostructured TiO 2 electrodes with CdSe quntum dots: effects of microstructure in substrates Q. Shen 1,2) and T. Toyoda 1,2) Department of Applied Physics and Chemistry 1), and

More information

Terahertz emission during interaction of ultrashort laser pulses with gas cluster beam

Terahertz emission during interaction of ultrashort laser pulses with gas cluster beam Journal of Physics: Conference Series PAPER OPEN ACCESS Terahertz emission during interaction of ultrashort laser pulses with gas cluster beam To cite this article: A V Balakin et al 2016 J. Phys.: Conf.

More information

Carrier dynamics of rubrene single-crystals revealed by transient broadband terahertz

Carrier dynamics of rubrene single-crystals revealed by transient broadband terahertz Supplemental Material Carrier dynamics of rubrene single-crystals revealed by transient broadband terahertz spectroscopy H. Yada 1, R. Uchida 1, H. Sekine 1, T. Terashige 1, S. Tao 1, Y. Matsui 1, N. Kida

More information

Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008

Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008 Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008 Richard London rlondon@llnl.gov Workshop on Interaction of Free Electron Laser Radiation with Matter Hamburg This work

More information

Generation and Applications of High Harmonics

Generation and Applications of High Harmonics First Asian Summer School on Aug. 9, 2006 Generation and Applications of High Harmonics Chang Hee NAM Dept. of Physics & Coherent X-ray Research Center Korea Advanced Institute of Science and Technology

More information

New Concept of DPSSL

New Concept of DPSSL New Concept of DPSSL - Tuning laser parameters by controlling temperature - Junji Kawanaka Contributors ILS/UEC Tokyo S. Tokita, T. Norimatsu, N. Miyanaga, Y. Izawa H. Nishioka, K. Ueda M. Fujita Institute

More information

Robust energy enhancement of ultra-short pulse laser accelerated protons from reduced mass targets

Robust energy enhancement of ultra-short pulse laser accelerated protons from reduced mass targets Robust energy enhancement of ultra-short pulse laser accelerated protons from reduced mass targets K. Zeil, J. Metzkes, T. Kluge, M. Bussmann, T. E. Cowan, S. D. Kraft, R. Sauerbrey, B. Schmidt, M. Zier,

More information

attosecond laser pulse

attosecond laser pulse Kenichi Ishikawa ( ) http://ishiken.free.fr/english/lecture.html ishiken@atto.t.u-tokyo.ac.jp Advanced Plasma and Laser Science E attosecond laser pulse 1 attosecond pulse train (APT) isolated attosecond

More information

Supplementary Material for In situ frequency gating and beam splitting of vacuum- and extreme-ultraviolet pulses

Supplementary Material for In situ frequency gating and beam splitting of vacuum- and extreme-ultraviolet pulses Supplementary Material for In situ frequency gating and beam splitting of vacuum- and extreme-ultraviolet pulses Rajendran Rajeev, Johannes Hellwagner, Anne Schumacher, Inga Jordan, Martin Huppert, Andres

More information

EUV ablation. C. Liberatore1,2, A. Bartnik5, K. Mann4, M. Müller4, L. Pina2, L. Juha3, J. J. Rocca6, A. Endo1, T. Mocek1

EUV ablation. C. Liberatore1,2, A. Bartnik5, K. Mann4, M. Müller4, L. Pina2, L. Juha3, J. J. Rocca6, A. Endo1, T. Mocek1 EUV ablation C. Liberatore1,2, A. Bartnik5, K. Mann4, M. Müller4, L. Pina2, L. Juha3, J. J. Rocca6, A. Endo1, T. Mocek1 1 Hilase Center, Dolni Brezany, Czech Republic 2 Czech Technical University, Prague,

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION DOI: 10.1038/NPHYS2397 Strong-field physics with singular light beams M. Zürch, C. Kern, P. Hansinger, A. Dreischuh, and Ch. Spielmann Supplementary Information S.1 Spectrometric

More information

Radiation-Hydrodynamics, Spectral, and Atomic Physics Modeling of Laser-Produced Plasma EUV Lithography Light Sources

Radiation-Hydrodynamics, Spectral, and Atomic Physics Modeling of Laser-Produced Plasma EUV Lithography Light Sources Radiation-Hydrodynamics, Spectral, and Atomic Physics Modeling of aser-produced Plasma EUV ithography ight Sources J. J. MacFarlane, C.. Rettig, P. Wang, I. E. Golovkin, and P. R. Woodruff Prism Computational

More information

EUV Reflectivity measurements on Acktar Sample Magic Black

EUV Reflectivity measurements on Acktar Sample Magic Black Report EUV Reflectivity measurements on Acktar Sample Magic Black S. Döring, Dr. K. Mann Laser-Laboratorium Göttingen e.v. October 28, 2011 Contents 1 Introduction 3 2 Setup 3 3 Measurements 4 4 Conclusion

More information

Hydrodynamics of Exploding Foil X-Ray Lasers with Time-Dependent Ionization Effect

Hydrodynamics of Exploding Foil X-Ray Lasers with Time-Dependent Ionization Effect Hydrodynamics of Exploding Foil X-Ray Lasers with Time-Dependent Ionization Effect WANG Yu ( ), SU Dandan ( ), LI Yingjun ( ) State Key Laboratory for GeoMechanics and Deep Underground Engineering, China

More information

EUV sources using Xe and Sn discharge plasmas

EUV sources using Xe and Sn discharge plasmas INSTITUTE OF PHYSICS PUBLISHING JOURNAL OF PHYSICS D: APPLIED PHYSICS J. Phys. D: Appl. Phys. 37 (2004) 3254 3265 PII: S0022-3727(04)81553-5 EUV sources using Xe and Sn discharge plasmas Vladimir M Borisov,

More information

Study on Bose-Einstein Condensation of Positronium

Study on Bose-Einstein Condensation of Positronium Study on Bose-Einstein Condensation of Positronium K. Shu 1, T. Murayoshi 1, X. Fan 1, A. Ishida 1, T. Yamazaki 1,T. Namba 1,S. Asai 1, K. Yoshioka 2, M. Kuwata-Gonokami 1, N. Oshima 3, B. E. O Rourke

More information

Physik und Anwendungen von weicher Röntgenstrahlung I (Physics and applications of soft X-rays I)

Physik und Anwendungen von weicher Röntgenstrahlung I (Physics and applications of soft X-rays I) Physik und Anwendungen von weicher Röntgenstrahlung I (Physics and applications of soft X-rays I) Sommersemester 2015 Veranstalter : Prof. Dr. Ulf Kleineberg (ulf.kleineberg@physik.uni-muenchen.de) LMU,

More information

High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources *

High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources * High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources * J.D. Hybl**, T.Y. Fan, W.D. Herzog, T.H. Jeys, D.J.Ripin, and A. Sanchez EUV Source Workshop 29 May 2009 * This work

More information

プラズマ光源関連イオンの EBIT による分光

プラズマ光源関連イオンの EBIT による分光 プラズマ光源関連イオンの EBIT による分光 Emission spectroscopy of multiply charged ions related to plasma light sources with an EBIT 大橋隼人, 八釼純治, 坂上裕之 *, 中村信行 レーザー新世代研究センター, 電気通信大学 * 核融合科学研究所 2012 年度原子分子データ応用フォーラムセミナー 2012

More information