EUV Source Developments on Laser-Produced Plasmas using Lithium New Scheme Target

Size: px
Start display at page:

Download "EUV Source Developments on Laser-Produced Plasmas using Lithium New Scheme Target"

Transcription

1 San Diego, EUV Source Developments on Laser-Produced Plasmas using thium New Scheme Target Shuji MIYAMOTO, Sho AMANO, Takahiro INOUE Petru-Edward NICA, Atsushi SHIMOURA Kakyo KAKU, and Takayasu MOCHIZUKI Laboratory of Advanced Science and Technology for Industry,, JAPAN This work was performed under the auspices of MEXT(Ministry of Education, Culture, Science and Technology, Japan) under contract subject "Leading Project for EUV lithography source development.

2 Abstract We propose to use lithium new scheme targets, "forced recombination" and "expansion energy re-conversion" targets. As a new deposition-free target, the use of lithium was proposed by Cymer [1]. We observed a comparable CE with tin target in a simple planer target [2]. In this paper, we report spatial and temporal characterizations of EUV emission from these new scheme lithium targets. Experimental results will be discussed comparing with theoretical analysis and numerical calculations. Laser systems used in the above experiments were a 32 Hz repetition rate Nd:YAG slab laser and a 1Hz Nd:YAG rod laser with 1J pulse energy. EUV emissions were measured by an imaging camera, a transmission and a grazing incidence spectrometers, fast EUV photo-diodes and a Flying Circus 3 for power monitor. [1] D.Myers, et al.: 3rd Int. EUVL Symposium, So3, Miyazaki, Japan, 2-4 Nov., 24. [2] Shuji Miyamoto, et al.: EUV Source Workshop, PO-9, San Jose, 27 Feb., 25.

3 Deposition free EUV-LPP target : Cryogenic Xe and Hot We require a deposition free EUV source for lithography. Deposition-free target : cryogenic Xe NTT-AT Deposition free mirror : hot mirror Easy evaporation target :

4 EUV multilayer mirror usable at high temperature* by NTT-AT *H. Takenaka, et. al., OSA TOPS on Extreme Ultraviolet thography 1996, Vol. 4, pp

5 Experimental setup of plane thium targets Double pulse laser Main Laser FCI :Flying Circus Imaging with time gated MCP camera 1ns Pre-pulse Laser Lens position grating slit EUV spectrum with spatial resolution CCD GISM:Grazing Incidence Spectrometer Time resolved EUV image 45 9 pinhole TGSM :Transmission Grating Spectrometer XRD: X-ray Photo Diode EUV angler distribution Forced recombination Tamper

6 Experimental setup of plane thium targets Lens position grating slit Spectrum CCD GISM x nm 1.8nm(2+, 1s-4p) nm(2+, 1s-3p) x nm(2+, 1s-2p) nm 17.8nm(1+, 1s2-1s3p) 2% b.w. 2% 19.9nm(1+, 1s2-1s2p) 45 9 pinhole nm TGSM Spectral Intensity (A.U.) Xe ω /.5J 2 ω /.5J Laser Intensity =1.5x1 11 W/cm nm 2% b.w. 3 ω /.25J (x2) wavelength(nm) nm

7 Emission spectra from solid lithium target : Spatially integrated spectrum and estimeted T e x continuum 12.1eV +-.27eV 9.5 LP=- (6e9 W/cm2) nm 1.8nm(2+, 1s-4p) nm(2+, 1s-3p) x nm(2+, 1s-2p) nm(1+, 1s2-1s3p) 2% nm(1+, 1s2-1s2p) nm nm

8 Typical angular distributions of EUV emission from target laser Laser pulse = 1J/1ns Focus lens position = -15 Roughly isotropic angular distribution was observed.

9 Dependence of CE on laser focus position 1) Measured CE of was 1.2 %/2πsr, and it was comparable with that of Sn. 2) Optimum laser intensity for was an order of magnitude lower than that for Sn W/cm W/cm nm [%/2πsr/2%bw] (.55 J) (.27 J) Sn (.5 J) W/cm 2 CE was estimated by using spectra data of XRD Lens position []

10 2+ fraction was calculated by CRE model CRE : Collisional Radiative Equibrium n e =1 2 /cc fraction electron temperature (ev)

11 : WP Double pulse irradiated lithium target Small increase of CE and emission size were observed EUV(13.5nm) Intensity (a.u.) thium Double Pulse Irradiation LP= LP=-6 Mail laser:.5j/1ns PrePulse:.6J/2ns DoublePulse(LP=, 2e12W/cm2) Single(Main only LP=) Single(Pre only LP=) DoublePulse(LP=-6, 2e1W/cm2) Single(Main only LP=-6) LP=-6 ns LP=-6 QuickTimeý Dz TIFFÅiLZWÅj êlí ÉvÉçÉOÉâÉÄ Ç Ç±ÇÃÉsÉNÉ`ÉÉǾå ÇÈÇžÇ½Ç ÇÕïKóvÇ-ÇÅB LP= ns LP= ns ns Delay time (ns) 15 2ns 46ns ns

12 : FR Forced Recombination Radiator experiment Enhanced EUV emission at wall was observed 14(lens:-6) Cu5(lens:-6) lithium target wall Cu wall laser Wall : Copper or polyethylene PE22(lens:-6) wall (CH)n Observed by EUV imaging camera

13 : FR EUV emissions are enhanced by recombination at electron Donor Enhance EUV EUV Intensity (a.u.) 2x foil with Donor without Donor Wall electron donor Distance from target surface () 2.

14 : FR EUV emission time history shows a hump at colliding time Measured by a high-speed EUV photo diode (HS-XRD) High speed EUV photo diode signal (a.u.).5v ns without Donor Distance = 1 v = 1 / 35 ns = m/s with Donor ns time (ns)

15 : FR Time evolution of the plasma: - expansion energy re-conversion 13.5 nm time-resolved image (FCI) (Lens position: -5) (Lens position: -5) (Lens position: -5) wall wall wall t=-1ns t = ns t = 1 ns (Lens position: -5) (Lens position: -5) wall wall t = 2 ns t = 3 ns

16 : FR Time evolution of the plasma: free expansion 13.5 nm time-resolved image (FCI) t = -1 ns t = ns t = 1 ns t = 2 ns t = 3 ns

17 : FR Setup of target and spectrometer Plasma Laser Without Wall 2 target Pinhall 4 Plasma Wall Laser nm Wavelength (nm) With Wall 2 target Pinhall 4 6 Plasma nm Wavelength (nm)

18 : FR Forced recombination wall re-emit the EUV and keep the emission volume small thium Without wall Wavelength (nm) 2nm thium 2 4 Wall 6 With wall 13.5nm Wavelength (nm) 2nm

19 : FR Plasma electron temperature distribution estimated by continuum radiation 5pixel Wavelength (nm) 2nm

20 : FR Intense EUV emission at wall caused by temperature rise laser wall 4 3 with Wall LP=-8 (1e1 W/cm2) f5119 f ev 2 6 x ev with Wall LP=-4 (4e1 W/cm2) f5117 f x1 3 ev with Wall 1.5 LP=-1 (6e9 W/cm2) f512 f x

21 : FR Forced Recombination Radiator thium droplet with jet Gas puff Droplet plasma collide on sheet jet C cavity target Plasma-plasma collision

22 Conclusion Observed CE of thium plane target irradiated by ω-laser was as large as that of Tin target. Pre-pulse (double pulse) irradiation of lithium targets were tested. Small improvement of CE was observed without significant change of emission size. Forced Recombination Radiator, new scheme lithium target was demonstrated. EUV emission was enhanced at wall. Duration of EUV emission was also elongated. Plasma temperature was increased at the wall. CE improvement of 5-1% is expected.

23 Future work Further test of Forced Recombination Radiator target. Cavity lithium target. Demonstration of large solid angle extraction of EUV from forced recombination target

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source 3rd International EUVL Symposium NOVEMBER 1-4, 2004 Miyazaki, Japan Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source H. Tanaka, A. Matsumoto, K. Akinaga, A. Takahashi

More information

Efficient EUV source by use of a micro-target containing tin nanoparticles

Efficient EUV source by use of a micro-target containing tin nanoparticles 2008 International Workshop on EUV Lithography Efficient EUV source by use of a micro-target containing tin nanoparticles Takeshi Higashiguchi higashi@cc.utsunomiya-u.ac.jp Utsunomiya University, Japan

More information

Laser heating of noble gas droplet sprays: EUV source efficiency considerations

Laser heating of noble gas droplet sprays: EUV source efficiency considerations Laser heating of noble gas droplet sprays: EUV source efficiency considerations S.J. McNaught, J. Fan, E. Parra and H.M. Milchberg Institute for Physical Science and Technology University of Maryland College

More information

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application EUV light source plasma Tin icrodroplet Main pulse (CO2 laser pulse) Pre-pulse (Nd:YAG laser

More information

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Yezheng Tao*, Mark Tillack, Kevin Sequoia, Russel Burdt, Sam Yuspeh, and Farrokh Najmabadi University of California, San Diego

More information

EUV lithography and Source Technology

EUV lithography and Source Technology EUV lithography and Source Technology History and Present Akira Endo Hilase Project 22. September 2017 EXTATIC, Prague Optical wavelength and EUV (Extreme Ultraviolet) VIS 13.5nm 92eV Characteristics of

More information

Optimization of laser-produced plasma light sources for EUV lithography

Optimization of laser-produced plasma light sources for EUV lithography page 1 of 17 Optimization of laser-produced plasma light sources for EUV lithography M. S. Tillack and Y. Tao 1 University of California, San Diego Center for Energy Research 1 Currently at Cymer Inc.

More information

A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target

A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target Takeshi Higashiguchi E-mail: higashi@opt.miyazaki-u.ac.jp Keita Kawasaki, Naoto Dojyo, Masaya Hamada, Wataru Sasaki,

More information

Development of Radiation Hydrodynamic code STAR for EUV plasmas. Atsushi Sunahara. Institute for Laser Technology

Development of Radiation Hydrodynamic code STAR for EUV plasmas. Atsushi Sunahara. Institute for Laser Technology Development of Radiation Hydrodynamic code STAR for EUV plasmas Atsushi Sunahara suna@ile.osaka-u.ac.jp Institute for Laser Technology 2013 International Workshop on EUV and Soft x-ray Sources University

More information

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source 1 Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source D. Nakamura, T. Akiyama, K. Tamaru, A. Takahashi* and T. Okada Graduate School of Information Science and Electrical Engineering,

More information

Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography

Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography P10 Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography A. Sunahara 1 K. Nishihara 2 A. Sasaki 3 1 Institute for Laser Technology (ILT) 2 Institute of Laser

More information

CHARACTERISTICS OF ION EMISSION FROM CO 2 /Nd:YAG LPP WITH TIN TARGET

CHARACTERISTICS OF ION EMISSION FROM CO 2 /Nd:YAG LPP WITH TIN TARGET CHARACTERISTICS OF ION EMISSION FROM CO 2 /Nd:YAG LPP WITH TIN TARGET Akihiko Takahashi 1, Hiroki Tanaka 2, Atsushi Matsumoto 2, Yuuki Hashimoto 2, Kiichiro Uchino 3, Tatsuo Okada 2 1 Department of Health

More information

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas I. Kambali, G. Atom O Sullivan Indonesia / Atom Vol. Indonesia 4 No. 2 (24) Vol. 47 No. - 2 (24) 7 - Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas I. Kambali * and G. O Sullivan

More information

Rare-earth plasma extreme ultraviolet sources at nm for next generation semiconductor lithography

Rare-earth plasma extreme ultraviolet sources at nm for next generation semiconductor lithography Rare-earth plasma extreme ultraviolet sources at 6.5-6.7 nm for next generation semiconductor lithography Takeshi Higashiguchi 1 Takamitsu Otsuka 1, Deirdre Kilbane 3, John White 3, Noboru Yugami 1,2,

More information

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS Chapter 7 EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS Hot dense plasma lasing medium d θ λ λ Visible laser pump Ch07_00VG.ai The Processes of Absorption, Spontaneous Emission, and Stimulated Emission Absorption

More information

Progress in LPP EUV Source Development by Japan MEXT Project

Progress in LPP EUV Source Development by Japan MEXT Project Progress in LPP EUV Source Development by Japan MEXT Project Y. Izawa, N. Miyanaga, H. Nishimura, S. Fujioka, T. Aota, K. Nagai, T. Norimatsu,K. Nishihara, M. Murakami, Y. -G. Kang, M. Nakatsuka, H. Fujita,

More information

UC San Diego EUV Lithography Group Progress Report

UC San Diego EUV Lithography Group Progress Report University of California, San Diego UCSD-CER-10-02 UC San Diego EUV Lithography Group Progress Report M. S. Tillack, Y. Tao, F. Najmabadi, L. Carlson, S. Yuspeh, R. Burdt, A. Farkas, N. Shaikh, N. Amin,

More information

Angular Distribution Measurements of Sputtered Particles at UCSD

Angular Distribution Measurements of Sputtered Particles at UCSD Angular Distribution Measurements of Sputtered Particles at UCSD Presented by Russ Doerner for Jonathan Yu, Edier Oyarzabal and Daisuke Nishijima QMS measurements in unmagnetized plasma Moly Carbon clusters

More information

Spectral control of emissions from Sn-doped targets for EUV lithography

Spectral control of emissions from Sn-doped targets for EUV lithography University of California, San Diego UCSD-CER-05-05 Spectral control of emissions from Sn-doped targets for EUV lithography S. S. Harilal, B. O Shay, M. S. Tillack and Y. Tao August 2005 Center for Energy

More information

EUV Reflectivity measurements on Acktar Sample Magic Black

EUV Reflectivity measurements on Acktar Sample Magic Black Report EUV Reflectivity measurements on Acktar Sample Magic Black S. Döring, Dr. K. Mann Laser-Laboratorium Göttingen e.v. October 28, 2011 Contents 1 Introduction 3 2 Setup 3 3 Measurements 4 4 Conclusion

More information

Optimization of EUV Lithography Plasma Radiation Source Characteristics Using HELIOS-CR

Optimization of EUV Lithography Plasma Radiation Source Characteristics Using HELIOS-CR Optimization of EUV Lithography Plasma Radiation Source Characteristics Using HELIOS-CR J. J. MacFarlane, P. Wang, I. E. Golovkin, P. R. Woodruff Prism Computational Sciences, Inc. Madison, WI (USA) http://www.prism-cs.com

More information

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources A. Hassanein, V. Sizyuk, S.S. Harilal, and T. Sizyuk School of Nuclear Engineering and Center

More information

Evaluation at the intermediate focus for EUV Light Source

Evaluation at the intermediate focus for EUV Light Source Evaluation at the intermediate focus for EUV Light Source Takashi Suganuma, Georg Soumagne, Masato Moriya, Tamotsu Abe, Akira Sumitani, Akira Endo Extreme Ultraviolet Lithography System Development Association

More information

Opacity effect on extreme ultraviolet radiation from laser-produced tin plasmas

Opacity effect on extreme ultraviolet radiation from laser-produced tin plasmas Physics Physics fields Okayama University Year 2005 Opacity effect on extreme ultraviolet radiation from laser-produced tin plasmas Shinsuke Fujioka, Osaka University Hiroaki Nishimura, Osaka University

More information

EUV spectroscopy of mass-limited Sn-doped laser microplasmas

EUV spectroscopy of mass-limited Sn-doped laser microplasmas EUV spectroscopy of mass-limited Sn-doped laser microplasmas Simi George, Chiew-Seng Koay, Kazutoshi Takenoshita, Robert Bernath, Moza Al-Rabban a, Christian Keyser b, Vivek Bakshi c, Howard Scott d, &

More information

Utsunomiya University Experiments, September - November 2011

Utsunomiya University Experiments, September - November 2011 Colm O Gorman 1, Thomas Cummins 1, Takamitsu Otsuka 2, Noboru Yugami 2,4,Weihua Jiang 5, Akira Endo 6, Bowen Li 1, Padraig Dunne 1,Emma Sokell 1, Gerry O Sullivan 1 and Takeshi Higashiguchi 2,4 Utsunomiya

More information

Plasma EUV source has been studied to achieve 180W of power at λ=13.5nm, which is required for the next generation microlithography

Plasma EUV source has been studied to achieve 180W of power at λ=13.5nm, which is required for the next generation microlithography Acknowledgement K. Nishihara, H. Nishimura, S. Fujioka Institute for Laser Engineering, Osaka University A. Sunahara, H. Furukawa Institute for Laser Technology T. Nishikawa, Okayama University F. Koike,

More information

Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, Wuhan , China

Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, Wuhan , China Research of the EUV radiation and CO 2 Laser produced tin plasma Wang Xinbing 1 *, Zuo DouLuo 1, Lu Peixiang 2, Wu Tao 3 1 Wuhan National Laboratory for Optoelectronics, Huazhong University of Science

More information

Laser and pinching discharge plasmas spectral characteristics in water window region

Laser and pinching discharge plasmas spectral characteristics in water window region Laser and pinching discharge plasmas spectral characteristics in water window region P Kolar 1, M Vrbova 1, M Nevrkla 2, P Vrba 2, 3 and A Jancarek 2 1 Czech Technical University in Prague, Faculty of

More information

X-Rays From Laser Plasmas

X-Rays From Laser Plasmas X-Rays From Laser Plasmas Generation and Applications I. C. E. TURCU CLRC Rutherford Appleton Laboratory, UK and J. B. DANCE JOHN WILEY & SONS Chichester New York Weinheim Brisbane Singapore Toronto Contents

More information

Padraig Dunne, UCD School of Physics Dublin, Ireland.

Padraig Dunne, UCD School of Physics Dublin, Ireland. Padraig Dunne, UCD School of Physics Dublin, Ireland. Contents Zurich Prague Dublin Padova Carl Zeiss Aachen ASML IMEC EPPRA Xtreme ISAN ISAN Progress in on line MLM carbon cleaning Progress in radiative

More information

Comparison of EUV spectral and ion emission features from laserproduced

Comparison of EUV spectral and ion emission features from laserproduced Comparison of EUV spectral and ion emission features from laserproduced and plasmas R. W. Coons, D. Campos, M. Crank, S. S. Harilal, and A. Hassanein School of Nuclear Engineering, and Center for Materials

More information

Peculiarities of Modeling LPP Source at 6.X nm

Peculiarities of Modeling LPP Source at 6.X nm V.Novikov, V.Ivanov, K.Koshelev, V.Krivtsun, A.Grushin, R.Kildiyarova, A.Solomyannaya Peculiarities of Modeling LPP Source at 6.X nm Outline Theoretical base Optimal plasma parameters Band position Scaling

More information

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source Introduction and Outline A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source Rainer Lebert, AIXUV Target Features of High Brightness EUV Source LPP Concept to reach Specification Target

More information

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources Kazutoshi Takenoshita, Chiew-Seng Koay, Somsak Teerawattansook, & Martin Richardson Laser Plasma Laboratory,

More information

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION Principles and Applications DAVID ATTWOOD UNIVERSITY OF CALIFORNIA, BERKELEY AND LAWRENCE BERKELEY NATIONAL LABORATORY CAMBRIDGE UNIVERSITY PRESS Contents

More information

Important processes in modeling and optimization of EUV lithography sources

Important processes in modeling and optimization of EUV lithography sources Important processes in modeling and optimization of UV lithography sources T. Sizyuk and A. Hassanein Center for Materials under xtreme nvironment, School of Nuclear ngineering Purdue University, West

More information

Institute for Laser Technology

Institute for Laser Technology Shinsuke Fujioka, Teruyuki Ugomori, Kensuke Yoshida, Chaogang Li, Atsushi Sunahara A, Katsunobu Nishihara, Nozomi Tanaka, Hiroaki Nishimura Institute of Laser Engineering, Osaka University A Institute

More information

Influence of an intensive UV preionization on evolution and EUV-emission of the laser plasma with Xe gas target (S12)

Influence of an intensive UV preionization on evolution and EUV-emission of the laser plasma with Xe gas target (S12) Influence of an intensive UV preionization on evolution and EUV-emission of the laser plasma with Xe gas target (S12) 2013 Int. Workshop on EUV and Soft X-ray Sources UCD, Dublin, November 4-7, 2013 A.Garbaruk

More information

Comparison of experimental and simulated extreme ultraviolet spectra of xenon and tin discharges

Comparison of experimental and simulated extreme ultraviolet spectra of xenon and tin discharges Comparison of experimental and simulated extreme ultraviolet spectra of xenon and tin discharges E. R. Kieft,* K. Garloff, and J. J. A. M. van der Mullen Department of Applied Physics, Eindhoven University

More information

Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source!

Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source! Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source! H. Nishimura 1, H. Matsukuma 1, K. Yoshida 1, T. Hosoda 1, A. Yogo 1,! N. Tanaka 1, S. Fujioka 1, K. Nishihara 1,! A.

More information

Characterization of the Tin-doped droplet laser plasma EUVL sources for HVM

Characterization of the Tin-doped droplet laser plasma EUVL sources for HVM Characterization of the Tin-doped droplet laser plasma EUVL sources for HVM Kazutoshi Takenoshita a, Simi A. George a, Tobias Schmid a, Chiew-Seng Koay a*, Jose Cunado a, Robert Bernath a, Christopher

More information

Scaling Hot-Electron Generation to High-Power, Kilojoule-Class Lasers

Scaling Hot-Electron Generation to High-Power, Kilojoule-Class Lasers Scaling Hot-Electron Generation to High-Power, Kilojoule-Class Lasers 75 nm 75 75 5 nm 3 copper target Normalized K b /K a 1.2 1.0 0.8 0.6 0.4 Cold material 1 ps 10 ps 0.2 10 3 10 4 Heating 2.1 kj, 10

More information

PIC simulations of laser interactions with solid targets

PIC simulations of laser interactions with solid targets PIC simulations of laser interactions with solid targets J. Limpouch, O. Klimo Czech Technical University in Prague, Faculty of Nuclear Sciences and Physical Engineering, Břehová 7, Praha 1, Czech Republic

More information

Measurement of EUV scattering from Mo/Si multilayer mirrors

Measurement of EUV scattering from Mo/Si multilayer mirrors Measurement of EUV scattering from Mo/Si multilayer mirrors N. Kandaka, T. Kobayashi, T. Komiya, M. Shiraishi, T. Oshino and K. Murakami Nikon Corp. 3 rd EUVL Symposium Nov. 2-4 2004 (Miyazaki, JAPAN)

More information

Construction of a 100-TW laser and its applications in EUV laser, wakefield accelerator, and nonlinear optics

Construction of a 100-TW laser and its applications in EUV laser, wakefield accelerator, and nonlinear optics Construction of a 100-TW laser and its applications in EUV laser, wakefield accelerator, and nonlinear optics Jyhpyng Wang ( ) Institute of Atomic and Molecular Sciences Academia Sinica, Taiwan National

More information

Document Version Publisher s PDF, also known as Version of Record (includes final page, issue and volume numbers)

Document Version Publisher s PDF, also known as Version of Record (includes final page, issue and volume numbers) Comparison of experimental and simulated extreme ultraviolet spectra of xenon and tin discharges Kieft, E.R.; Garloff, K.; Mullen, van der, J.J.A.M.; Banine, V.Y. Published in: Physical Review E DOI: 10.1103/PhysRevE.71.036402

More information

Pulsed-power based bright EUV light source for metrology

Pulsed-power based bright EUV light source for metrology Pulsed-power based bright EUV light source for metrology Sergey V. Zakharov NaextStream sas, Buc, France sergey.zakharov@naextstream.com + also with NRC Kurchatov Institute, Moscow, Russia 1 Sources for

More information

Electron-Acoustic Wave in a Plasma

Electron-Acoustic Wave in a Plasma Electron-Acoustic Wave in a Plasma 0 (uniform ion distribution) For small fluctuations, n ~ e /n 0

More information

GA A25842 STUDY OF NON-LTE SPECTRA DEPENDENCE ON TARGET MASS IN SHORT PULSE LASER EXPERIMENTS

GA A25842 STUDY OF NON-LTE SPECTRA DEPENDENCE ON TARGET MASS IN SHORT PULSE LASER EXPERIMENTS GA A25842 STUDY OF NON-LTE SPECTRA DEPENDENCE ON TARGET MASS IN SHORT PULSE LASER EXPERIMENTS by C.A. BACK, P. AUDBERT, S.D. BATON, S.BASTIANI-CECCOTTI, P. GUILLOU, L. LECHERBOURG, B. BARBREL, E. GAUCI,

More information

Review of the doctoral dissertation of Ismail Saber titled: Spectral investigation of extreme ultraviolet induced plasmas

Review of the doctoral dissertation of Ismail Saber titled: Spectral investigation of extreme ultraviolet induced plasmas Prof. dr hab. inż. Tadeusz Pisarczyk Institute of Plasma Physics and Laser Microfusion. 23 Hery St., 01-489 Warsaw. Warsaw, November 21, 2018r. Introduction: Review of the doctoral dissertation of Ismail

More information

High-Resolving-Power, Ultrafast Streaked X-Ray Spectroscopy on OMEGA EP

High-Resolving-Power, Ultrafast Streaked X-Ray Spectroscopy on OMEGA EP High-Resolving-Power, Ultrafast Streaked X-Ray Spectroscopy on OMEGA EP Channel 1 Crystal chamber X-ray streak camera Chamber wall Re-entrant tube with collimators Normalized signal 0.8 0.6 0.4 0.2 Pulse

More information

Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements

Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements M. S. Tillack, Y. Tao, Y. Ueno*, R. Burdt, S. Yuspeh, A. Farkas, 2 nd TITAN workshop on MFE/IFE common research

More information

Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources

Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources Extreme ultraviolet (EUV) light sources and their optimization for emission within a narrow wavelength band

More information

Spectroscopic studies of impurities in the LHD plasmas

Spectroscopic studies of impurities in the LHD plasmas Spectroscopic studies of impurities in the LHD plasmas Visitor: Zhenwei Wu (the institute of plasma physics, CAS -ASIPP) Host: Shigeru Morita (the national institute for fusion science -NIFS) Content 1.

More information

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools Stephen F. Horne, Matthew M. Besen, Matthew J. Partlow, Donald K. Smith, Paul A. Blackborow, Deborah S. Gustafson Agenda Background

More information

Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008

Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008 Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008 Richard London rlondon@llnl.gov Workshop on Interaction of Free Electron Laser Radiation with Matter Hamburg This work

More information

Research Article Development of Laser-Produced Tin Plasma-Based EUV Light Source Technology for HVM EUV Lithography

Research Article Development of Laser-Produced Tin Plasma-Based EUV Light Source Technology for HVM EUV Lithography Physics Research International Volume, Article ID 49495, pages doi:.55//49495 Research Article Development of Laser-Produced Tin Plasma-Based EUV Light Source Technology for HVM EUV Lithography Junichi

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Supplementary Information Speckle-free laser imaging using random laser illumination Brandon Redding 1*, Michael A. Choma 2,3*, Hui Cao 1,4* 1 Department of Applied Physics, Yale University, New Haven,

More information

Spectral analysis of K-shell X-ray emission of magnesium plasma produced by ultrashort high-intensity laser pulse irradiation

Spectral analysis of K-shell X-ray emission of magnesium plasma produced by ultrashort high-intensity laser pulse irradiation PRAMANA c Indian Academy of Sciences Vol. 82, No. 2 journal of February 2014 physics pp. 365 371 Spectral analysis of K-shell X-ray emission of magnesium plasma produced by ultrashort high-intensity laser

More information

LASER-COMPTON SCATTERING AS A POTENTIAL BRIGHT X-RAY SOURCE

LASER-COMPTON SCATTERING AS A POTENTIAL BRIGHT X-RAY SOURCE Copyright(C)JCPDS-International Centre for Diffraction Data 2003, Advances in X-ray Analysis, Vol.46 74 ISSN 1097-0002 LASER-COMPTON SCATTERING AS A POTENTIAL BRIGHT X-RAY SOURCE K. Chouffani 1, D. Wells

More information

Magnetic fields applied to laser-generated plasma to enhance the ion yield acceleration

Magnetic fields applied to laser-generated plasma to enhance the ion yield acceleration Magnetic fields applied to laser-generated plasma to enhance the ion yield acceleration L. Torrisi, G. Costa, and G. Ceccio Dipartimento di Scienze Fisiche MIFT, Università di Messina, V.le F.S. D Alcontres

More information

Laser plasma EUVL sources progress and challenges

Laser plasma EUVL sources progress and challenges Laser plasma EUVL sources progress and challenges M. Richardson, C-S. Koay, K. Takenoshita, C. Keyser *, S. George, S. Teerawattansook Laser Plasma Laboratory, School of Optics: CREOL & FPCE University

More information

Spatially and temporally resolved temperature measurements of plasma generated in percussion drilling with a diode-pumped Nd:YAG laser

Spatially and temporally resolved temperature measurements of plasma generated in percussion drilling with a diode-pumped Nd:YAG laser JOURNAL OF APPLIED PHYSICS VOLUME 84, NUMBER 8 15 OCTOBER 1998 Spatially and temporally resolved temperature measurements of plasma generated in percussion drilling with a diode-pumped Nd:YAG laser M.

More information

Studies of high-repetition-rate laser plasma EUV sources from droplet targets e. turcu 2

Studies of high-repetition-rate laser plasma EUV sources from droplet targets e. turcu 2 Appl. Phys. A 77, 217 221 (2003) DOI: 10.1007/s00339-003-2142-4 Applied Physics A Materials Science & Processing c. keyser 1, g. schriever 1, m. richardson 1, Studies of high-repetition-rate laser plasma

More information

Measurements of plasma temperature and electron density in laser-induced copper plasma by time-resolved spectroscopy of neutral atom and ion emissions

Measurements of plasma temperature and electron density in laser-induced copper plasma by time-resolved spectroscopy of neutral atom and ion emissions PRAMANA c Indian Academy of Sciences Vol. 74, No. 6 journal of June 2010 physics pp. 983 993 Measurements of plasma temperature and electron density in laser-induced copper plasma by time-resolved spectroscopy

More information

EXTREME ULTRAVIOLET (EUV) lithography (EUVL)

EXTREME ULTRAVIOLET (EUV) lithography (EUVL) 714 IEEE TRANSACTIONS ON PLASMA SCIENCE, VOL. 38, NO. 4, APRIL 2010 Interaction of a CO 2 Laser Pulse With Tin-Based Plasma for an Extreme Ultraviolet Lithography Source Yezheng Tao, Mark S. Tillack, Sam

More information

EUV spectra from the NIST EBIT

EUV spectra from the NIST EBIT EUV spectra from the NIST EBIT D. Kilbane and G. O Sullivan Atomic and Molecular Plasma Physics group, UCD, Ireland J. D. Gillaspy, Yu. Ralchenko and J. Reader National Institute of Standards and Technology,

More information

Development and Optimization of EUV Emission from Laser Produced Plasmas

Development and Optimization of EUV Emission from Laser Produced Plasmas Development and Optimization of EUV Emission from Laser Produced Plasmas Gerry O Sullivan School of Physics, University College Dublin, Belfield, Dublin 4, Ireland. 2011 International Workshop on EUV Lithography,

More information

Appendix A Detector Calibration

Appendix A Detector Calibration Appix A Detector Calibration The scattering pattern from single clusters analyzed in Sect. 3.5 have been obtained with a large area detector which allows for spatially resolved measurement of the scattered

More information

Innovative XUV- und X-ray-Spectroscopy to explore Warm Dense Matter

Innovative XUV- und X-ray-Spectroscopy to explore Warm Dense Matter 3rd EMMI Workshop on Plasma Physics with intense Lasers and Heavy Ion Beams Innovative XUV- und X-ray-Spectroscopy to explore Warm Dense Matter Eckhart Förster X-ray Optics Group - IOQ - Friedrich-Schiller-University

More information

Radiation hydrodynamics of tin targets for laser-plasma EUV sources

Radiation hydrodynamics of tin targets for laser-plasma EUV sources Radiation hydrodynamics of tin targets for laser-plasma EUV sources M. M. Basko, V. G. Novikov, A. S. Grushin Keldysh Institute of Applied Mathematics, Moscow, Russia RnD-ISAN, Troitsk, Moscow, Russia

More information

Set-up for ultrafast time-resolved x-ray diffraction using a femtosecond laser-plasma kev x-ray-source

Set-up for ultrafast time-resolved x-ray diffraction using a femtosecond laser-plasma kev x-ray-source Set-up for ultrafast time-resolved x-ray diffraction using a femtosecond laser-plasma kev x-ray-source C. Blome, K. Sokolowski-Tinten *, C. Dietrich, A. Tarasevitch, D. von der Linde Inst. for Laser- and

More information

Extension of Wavelength Range in Absolute Intensity Calibration of Space-Resolved EUV Spectrometer for LHD Diagnostics )

Extension of Wavelength Range in Absolute Intensity Calibration of Space-Resolved EUV Spectrometer for LHD Diagnostics ) Extension of Wavelength Range in Absolute Intensity Calibration of Space-Resolved EUV Spectrometer for LHD Diagnostics ) Chunfeng DONG 1), Shigeru MORITA 1,2), Motoshi GOTO 1,2) and Erhui WANG 2) 1) National

More information

Assessment of the Azimuthal Homogeneity of the Neutral Gas in a Hall Effect Thruster using Electron Beam Fluorescence

Assessment of the Azimuthal Homogeneity of the Neutral Gas in a Hall Effect Thruster using Electron Beam Fluorescence Assessment of the Azimuthal Homogeneity of the Neutral Gas in a Hall Effect Thruster using Electron Beam Fluorescence IEPC-2015-91059 / ISTS-2015-b-91059 Presented at Joint Conference of 30th International

More information

EUV-Technology with Discharge EUV-Lamp"

EUV-Technology with Discharge EUV-Lamp EUV-Technology with Discharge EUV-Lamp" Rainer Lebert, Larissa Juschkin, Christian Wies, Bernhard Jägle, Manfred Meisen, Ulrich Bieberle, Willi Neff, Juri Barthel, Konstantin Walter, Klaus Bergmann, Fraunhofer

More information

The Repeller Field debris mitigation approach for EUV sources

The Repeller Field debris mitigation approach for EUV sources The Repeller Field debris mitigation approach for EUV sources K. Takenoshita, C-S. Koay, M. Richardson (Laser Plasma Laboratory, School of Optics-CREOL at University of Central Florida) I.C.E. Turcu (JMAR

More information

High conversion efficiency microscopic tin-doped droplet target laser-plasma source for EUVL

High conversion efficiency microscopic tin-doped droplet target laser-plasma source for EUVL High conversion efficiency microscopic tin-doped droplet target laser-plasma source for EUVL Chiew-Seng Koay, Simi George, Kazutoshi Takenoshita, Robert Bernath, Etsuo Fujiwara**, Martin Richardson *,

More information

Monte Carlo Characterization of a Pulsed Laser-Wakefield Driven Monochromatic X-Ray Source

Monte Carlo Characterization of a Pulsed Laser-Wakefield Driven Monochromatic X-Ray Source 2009 IEEE Nuclear Science Symposium Conference Record N30-3 Monte Carlo Characterization of a Pulsed Laser-Wakefield Driven Monochromatic X-Ray Source S. D. Clarke, S. A. Pozzi, IEEE Member, N. Cunningham,

More information

Volume Production of D - Negative Ions in Low-Pressure D 2 Plasmas - Negative Ion Densities versus Plasma Parameters -

Volume Production of D - Negative Ions in Low-Pressure D 2 Plasmas - Negative Ion Densities versus Plasma Parameters - Volume Production of D - Negative Ions in Low-Pressure D 2 Plasmas - Negative Ion Densities versus Plasma Parameters - Osamu Fukumasa and Shigefumi Mori Department of Electrical and Electronic Engineering,

More information

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine Content EUV lithography: History and status EUV sources- historical perspective: Age of choice Age of Xe Age of Sn Age

More information

High Efficiency Collector for Laser Plasma EUV Source.

High Efficiency Collector for Laser Plasma EUV Source. University of Central Florida UCF Patents Patent High Efficiency Collector for Laser Plasma EUV Source. 7-11-2006 Jonathan Arenberg Northrop Grumman Corporation Find similar works at: http://stars.library.ucf.edu/patents

More information

Radiation-Hydrodynamics, Spectral, and Atomic Physics Modeling of Laser-Produced Plasma EUV Lithography Light Sources

Radiation-Hydrodynamics, Spectral, and Atomic Physics Modeling of Laser-Produced Plasma EUV Lithography Light Sources Radiation-Hydrodynamics, Spectral, and Atomic Physics Modeling of aser-produced Plasma EUV ithography ight Sources J. J. MacFarlane, C.. Rettig, P. Wang, I. E. Golovkin, and P. R. Woodruff Prism Computational

More information

Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target

Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target M. Vrbova 1, P. Vrba 2, S.V. Zakharov 3, V.S. Zakharov 4, M. Müller 5, D. Pánek 1, T. Parkman 1, P.Brůža 1 1 Czech Technical

More information

High-Resolving-Power, Ultrafast Streaked X-Ray Spectroscopy on OMEGA EP

High-Resolving-Power, Ultrafast Streaked X-Ray Spectroscopy on OMEGA EP High-Resolving-Power, Ultrafast Streaked X-Ray Spectroscopy on OMEGA EP Channel 1 X-ray streak camera Chamber wall Re-entrant tube with collimators Crystal chamber Channel 2 X-ray CCD 1.65 m P. M. Nilson

More information

Dynamics of a laser-assisted Z-pinch EUV source

Dynamics of a laser-assisted Z-pinch EUV source Dynamics of a laser-assisted Z-pinch EUV source Isaac Tobin Laser & Plasma Applications, School of Physics, Trinity College Dublin Supervisor Prof. James G. Lunney EUV Litho Source Workshop 6 th November

More information

Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation

Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation N. Brimhall *, J. C. Painter, M. Turner, S. V. Voronov, R. S. Turley, M. Ware, and J. Peatross Department of Physics

More information

Numerical Modeling of Radiative Kinetic Plasmas

Numerical Modeling of Radiative Kinetic Plasmas 2014 US-Japan JIFT Workshop on Progress in kinetic plasma simulations Oct.31-Nov.1, 2014, Salon F, New Orleans Marriott, New Orleans, LA, U.S.A Numerical Modeling of Radiative Kinetic Plasmas T. Johzaki

More information

Generare de pulsuri multiple in sisteme laser ultrarapide si aplicatii la laserul cu raze X

Generare de pulsuri multiple in sisteme laser ultrarapide si aplicatii la laserul cu raze X EXTREME LIGHT INFRASTRUCTURE - un nou impuls pentru cercetarea stiintifica interdisciplinara - Magurele 17-18 18 Septembrie 2008 Generare de pulsuri multiple in sisteme laser ultrarapide si aplicatii la

More information

Laser Ablation for Chemical Analysis: 50 Years. Rick Russo Laser Damage Boulder, CA September 25, 2012

Laser Ablation for Chemical Analysis: 50 Years. Rick Russo Laser Damage Boulder, CA September 25, 2012 Laser Ablation for Chemical Analysis: 50 Years Rick Russo Lawrence Berkeley National Laboratory Applied Spectra, Inc 2012 Laser Damage Boulder, CA September 25, 2012 Laser Ablation for Chemical Analysis:

More information

Lecture 7. Ion acceleration in clusters. Zoltán Tibai

Lecture 7. Ion acceleration in clusters. Zoltán Tibai Preparation of the concerned sectors for educational and R&D activities related to the Hungarian ELI project Ion acceleration in plasmas Lecture 7. Ion acceleration in clusters Dr. Ashutosh Sharma Zoltán

More information

Extreme ultraviolet spectroscopy of highly charged argon ions at the Berlin EBIT

Extreme ultraviolet spectroscopy of highly charged argon ions at the Berlin EBIT Extreme ultraviolet spectroscopy of highly charged argon ions at the Berlin EBIT C. Biedermann, R. Radtke, G. Fussmann, F.I. Allen Institut für Physik der Humboldt-Universität zu Berlin, Lehrstuhl Plasmaphysik,

More information

Application of atomic data to quantitative analysis of tungsten spectra on EAST tokamak

Application of atomic data to quantitative analysis of tungsten spectra on EAST tokamak Technical Meeting on Uncertainty Assessment and Benchmark Experiments for Atomic and Molecular Data for Fusion Applications, 19-21 December 2016, Vienna, Austria Application of atomic data to quantitative

More information

Extreme-ultraviolet radiation transport in small scale length laser-produced tin plasmas

Extreme-ultraviolet radiation transport in small scale length laser-produced tin plasmas University of California, San Diego UCSD-CER-09-01 Extreme-ultraviolet radiation transport in small scale length laser-produced tin plasmas Kevin L. Sequoia 5 February 2009 Center for Energy Research University

More information

Generation and Applications of High Harmonics

Generation and Applications of High Harmonics First Asian Summer School on Aug. 9, 2006 Generation and Applications of High Harmonics Chang Hee NAM Dept. of Physics & Coherent X-ray Research Center Korea Advanced Institute of Science and Technology

More information

Dynamics of carbon and tungsten colliding plumes

Dynamics of carbon and tungsten colliding plumes Dynamics of carbon and tungsten colliding plumes H. Sato 2,Y. Hirooka 1, K. A. Tanaka 2 and the Reactor Eng. Group 2 1) National Institute for Fusion Science 2) Osaka University 2009,Oct 8 th -9 th TITAN

More information

X-ray photoelectron spectroscopy with a laser-plasma source

X-ray photoelectron spectroscopy with a laser-plasma source Proc. SPIE Vol.3157 (1997) pp.176-183 X-ray photoelectron spectroscopy with a laser-plasma source Toshihisa TOMIE a, Hiroyuki KONDO b, Hideaki SHIMIZU a, and Peixiang Lu a a Electrotechnical Laboratory,

More information

STUDIES OF INTERACTION OF PARTIALLY COHERENT LASER RADIATION WITH PLASMA

STUDIES OF INTERACTION OF PARTIALLY COHERENT LASER RADIATION WITH PLASMA 1 STUDIES OF INTERACTION OF PARTIALLY COHERENT LASER RADIATION WITH PLASMA Starodub A.N, Fedotov S.I., Fronya A.A., Kruglov B.V., Mal kova S.V., Osipov M.V., Puzyrev V.N., Sahakyan A.T., Vasin B.L., Yakushev

More information

Status of EUV Sources for Mask Metrology

Status of EUV Sources for Mask Metrology Status of EUV Sources for Mask Metrology Vivek Bakshi, Ph.D. EUV Litho Inc. 10202 Womack Road, Austin, TX 78748 USA www.euvlitho.com vivek.bakshi@euvlitho.com Outline Background Current Technology Status

More information

Wolter Imaging On Z. Chris Bourdon, Manager Z Imaging and Spectroscopy Julia Vogel, LLNL; Ming Wu, SNL ICF Diagnostics Workshop, October 5 th 2015

Wolter Imaging On Z. Chris Bourdon, Manager Z Imaging and Spectroscopy Julia Vogel, LLNL; Ming Wu, SNL ICF Diagnostics Workshop, October 5 th 2015 Photos placed in horizontal position with even amount of white space between photos and header Wolter Imaging On Z Chris Bourdon, Manager Z Imaging and Spectroscopy Julia Vogel, LLNL; Ming Wu, SNL ICF

More information