Pulsed-power based bright EUV light source for metrology

Size: px
Start display at page:

Download "Pulsed-power based bright EUV light source for metrology"

Transcription

1 Pulsed-power based bright EUV light source for metrology Sergey V. Zakharov NaextStream sas, Buc, France + also with NRC Kurchatov Institute, Moscow, Russia 1

2 Sources for EUV & Beyond Lithography Diffraction restricts the resolution r k1 NA 13.5nm 6.Xnm (h=9ev 185eV) / % NOW EUV for HVM beyond 16 nm The optics is made of multi-layer mirrors with reflection efficiency ~7% For HVM: >> W of in-band power at IF within < 3mm sr etendue For mask inspections ABIAIMSAPMI : 3 >1 W/mm sr Sn (4d-4f), Xe (5p-4d) High Energy Density plasma (T e =-4eV) radiates in EUV range LPP & DPP

3 ZETA Z * RMHD ZENITH Code multi-physics model TABLES: nonlte atomic & spectral data for materials and mixtures (Te,ρ,U) Atomic kinetics: ion level population (including inverse), chemical reactions Spectral postprocessing 3D PIC: gas ionization, weekly ionized plasma, discharge triggering RMHD ( D, 3D ) with: spectral multigroup radiation transport in nonlte; nonstationary, nonlte ionization; sublimation condensation; etc DPP, LPP, LADPP etc Plasma simulation in real geometry Data output: r,z,v,t e,i,ρ,e,b,z,u ω, etc; visualization Energy source: radiation, laser, electric circuit, energy storage line, chemical, nuclear, heat flux etc Heat flux postprocessing 3

4 Hollow cathode capillary discharge EUV sources Plasma gun CATHODE capillary insulator Energy storage capacitor Voltage monitor Current monitor XRD spectrometer slit-wire camera ANODE pulse charged local energy storage sub-mm diameter capillary hollow cathode e-beam for onaxis discharge initiation rapid current heating small high energy density radiation emitter Original EPPRA design low inductance solid insulator fast pulse high photon collection efficiency PUC design low inductance water: insulator & cooling agent medium pulse high CE~1.6% in Xe lower frequency operation NanoUV design high inductance capacitor array slow pulse low instant power

5 Bright EUV plasma source pulsed-power capillary discharge Pulsed-power Energy storage line 1 5 J Liquid dielectric & coolant Voltage -3 kv Current 1 - ka Pulse ~15-3 ns mm L = 1-18 mm Capillary dimension Energy storage line Experimental set up capillary EUV, soft-x Operation frequency 1-6 khz Gas:.1-4 Torr gradients He; Xe, N, Ar, Kr,, admixtures (for narrow-band radiation source) Capillary discharge dynamics & emission features: E-beam, plasma channelling (>>1) Example of central part of the simulated geometry Volumetric MHD compression (skin depth >>plasma diameter) Highly ionized ions (fast electrons) 5

6 capillary capillary Hollow-cathode Capillary Discharge triggering by fast electrons Anode modelling together with KIAM RAS Electron beam in the HC capillary discharge optical streak photograph run-away electrons electric field drops deeper into HC e-beam concentration (ɛ >>1) e-beam-gas ionization Hollow cathode ionization wave EPPRA, EUVL Symposium, In the first few nanoseconds, run-away electrons from the hollow cathode generate a tight ionized channel (< m diameter) in the gas 6

7 In-band Emission, mj/pulse Capillary Discharge EUV Source modelling source optimization Discharge current, ka energy storage 4.9J/pulse energy storage.7j/pulse Electric current through discharge at optimums Gas Pressure, a.u. Optimization by gas mixture pressure Time, ns 7

8 Z(cm) capillary capillary EUV Emission, MW Z(cm) capillary capillary - code output, cell values Capillary Discharge EUV Source dynamics & EUV emission t= 3.749E+3 ns R(cm) 3D volumetric compression Ne(Av) 5.E-5 3.7E-5.74E-5.3E-5 1.5E E-5 8.5E E-6 4.5E E-6.48E E E-6 1.1E E E-7 4.9E-7 3.3E-7.4E E-7 1.3E-7 9.1E E-8 5.E The traced along the axis, EUV intensity in % band at 13.5nm wavelength 6 W/mm sr per khz N e = cm -3, T e =5-4eV. in =13.5nm Time, ns Calculated in-band EUV emission 7.6 W/kHz in Frame 1 31 Oct 13 ZSTAR - code output, cell values time-integrated R(cm) EUV source crosssection Source diameter.16mm Qinband(J/ccm)

9 Further optimization of the source switching from inductive to resistive regime discharge current, ka Discharge current, ka Inductive regime Resistive regime I, ka Nitrogen as buffer gas time, ns Time, ns In the resistive regime of capillary discharge, the high joule dissipation in the tight conductive channel produced by hollow cathode electron beam creates an efficient mechanism of plasma heating and EUV or soft X-ray emission. Also, fast electrons increase the ionization degree of heavy ions (Xe, ) plasma increasing eo ipso EUV yield. 9

10 Example of double unit EUV source for ABI In-band brightness: 8 W/mm.sr Etendue: mm.sr In-band power at IF:.4W Efficiency 65% 3.5% ~6mW filtered ~6mW Efficiency 5% 1

11 Spatial mutiplexing - static combination of beams into one beam Etendue of a single source is E 1 S 4 IN FAR-FIELD the etendue of equivalent sources is E FF S 4 (+) 4 E 1 IN NEAR-FIELD the declination due to can be corrected and the etendue of equivalent sources is E NF S E 4 1 Brightness may slightly decrease due to additional reflection Power increases times Source S1 S1+S (source image) + EUV Facet mirror Source S 11

12 Sources for AIMS or APMI AIMS source requirements 3-1 W/mm.sr in-band Etendue mm.sr EUV In-band power at IF 15-5 mw - Proposal 1 source APMI source requirements In-band brightness : 4-8 W/mm.sr Etendue: mm.sr In-band power at IF:.6-1. W Operation frequency >1kHz - Proposal 4 sources temporally multiplexed Averaged brightness increases 3-4 times Averaged power increases 3-4 times (grazing incident optics or ML mirror optics) 5 mm 1

13 radial distance (mm) EUV band(zr filter)axuv signal (mv) Focusing effect observation 3 1 e n 1 f1( ) 75cm Source EPPRA measurements n =1-n<<1; n ~.1.5 (in solid matter) and n =.. (in plasma) for EUV range How it is possible in geometrical optics? Know - How radial distance (mm) Scanned signal profile Data: 13 mm Model: Lorentz Chi^/DoF = 366. R^ =.99 y -8.4 ±17.95 xc -.5 ±. w 1.93 ±.8 A ±34.59 EUV band (Zr filter) radiation beam profile at 13mm from collimator exit HWHM angle = tan -1 (1.8/4) =.6 degree solid angle = 6.36 e-5 steradian measured half width Linear fit of Data axial distance from end of collimator (mm) 13

14 rk Wave-guiding refractive structure dr/dz n Focussing : d dl N n sin( ) dr n ( r ) n ( r ) dl e-beam Refractive Structure: e-beam generates plasma-acoustic waves, k r -1 D Trajectories Trajectories zk ( z) r.5 k refractions are required light trajectory equation k n 1.5 n k resonator r z dz tg(angle) tg(angle) analytical numerical zk 14

15 Source for soft X-ray microscopy deep penetration & high contrast Water Window Soft X-ray Soft X-ray microscopes and their biological applications Janos Kirz, Chris Jacobsen & Malcolm Howells - Q. Rev. Biophys. 8, 33{13 (1995) N, Kr, Zr, Bi, High Energy Density plasma (T e =8-eV) radiates in WW range Table-top water window transmission x-ray microscopy: Review of the key issues, and conceptual design of an instrument for biology. Jean-François Adam and Jean-Pierre Moya, Jean Susini - Rev. Sci. Instrum. 76, poster: Vassily Zakharov "Radiative Properties of Krypton Plasma & Emission of Krypton DPP Source in Water-Window Spectral Range" 15

16 Thank you for attention! 16

High intensity EUV and soft X-ray X plasma sources modelling

High intensity EUV and soft X-ray X plasma sources modelling High intensity EUV and soft X-ray X plasma sources modelling Sergey V. Zakharov +, Vasily S. Zakharov +,Peter Choi, Alex Yu. Krukovskiy, Vladimir G. Novikov, Anna D. Solomyannaya NANO UV sas EPPRA sas

More information

Properties of High Intensity EUV Micro-Plasma Pulsed Discharge EUV source

Properties of High Intensity EUV Micro-Plasma Pulsed Discharge EUV source Properties of High Intensity EUV Micro-Plasma Pulsed Discharge EUV source P. Choi, S.V. Zakharov, R. Aliaga Rossel, O. Benali, O. Sarroukh, V.S. Zakharov EPPRA NanoUV Abstract EPPRA has developed a unique

More information

Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas

Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas Sergey V. Zakharov +, Peter Choi, Vasily S. Zakharov NANO UV sas EPPRA sas + also with RRC Kurchatov Institute,

More information

Radiative Properties of Krypton Plasma & Emission of Krypton DPP Source in Water-Window Spectral Range

Radiative Properties of Krypton Plasma & Emission of Krypton DPP Source in Water-Window Spectral Range 203 International Workshop on EUV and Soft X-Ray Sources, November 3-7, 203, Dublin, Ireland Radiative Properties of Krypton Plasma & Emission of Krypton DPP Source in Water-Window Spectral Range Vassily

More information

EUV & Soft-X X Radiation Plasma Sources

EUV & Soft-X X Radiation Plasma Sources Properties of High-Intensity EUV & Soft-X X Radiation Plasma Sources Sergey V. Zakharov +, Vasily S. Zakharov 3, Peter Choi EPPRA sas, Villebon sur Yvette, France NRC Kurchatov Institute, Moscow, Russia

More information

High Brightness EUV Light Source for Actinic Inspection & Microscopy

High Brightness EUV Light Source for Actinic Inspection & Microscopy High Brightness EUV Light Source for Actinic Inspection & Microscopy P. Choi, V.S. Zakharov, S.V. Zakharov, R. Aliaga-Rossel, A. Bakouboula, O. Benali, P. Bove, M. Cau, G. Duffy, O. Iwase, B. Lebert, O.

More information

High Brightness EUV Light Source System Development for Actinic Mask Metrology

High Brightness EUV Light Source System Development for Actinic Mask Metrology High Brightness EUV Light Source System Development for Actinic Mask Metrology Peter Choi, Sergey V. Zakharov, Raul Aliaga-Rossel, Aldrice Bakouboula, Otman Benali, Philippe Bove, Michèle Cau, Grainne

More information

Padraig Dunne, UCD School of Physics Dublin, Ireland.

Padraig Dunne, UCD School of Physics Dublin, Ireland. Padraig Dunne, UCD School of Physics Dublin, Ireland. Contents Zurich Prague Dublin Padova Carl Zeiss Aachen ASML IMEC EPPRA Xtreme ISAN ISAN Progress in on line MLM carbon cleaning Progress in radiative

More information

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools Stephen F. Horne, Matthew M. Besen, Matthew J. Partlow, Donald K. Smith, Paul A. Blackborow, Deborah S. Gustafson Agenda Background

More information

The Future of EUV sources: a FIRE perspective

The Future of EUV sources: a FIRE perspective The Future of EUV sources: a FIRE perspective C Fanara EPPRA sas 2010 International Workshop on Extreme Ultraviolet Sources University College Dublin Dublin, Ireland - November 13-15 2010 OUTLOOK 1. EPPRA:

More information

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source 3rd International EUVL Symposium NOVEMBER 1-4, 2004 Miyazaki, Japan Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source H. Tanaka, A. Matsumoto, K. Akinaga, A. Takahashi

More information

High Brightness EUV Light Source for Metrology

High Brightness EUV Light Source for Metrology High Brightness EUV Light Source for Metrology Sergey V. Zakharov, Peter Choi, Vasily S. Zakharov EPPRA sas NANO UV sas Panel Discussion: Actinic Defect Inspection Technology for EUV MasksM 3 Remaining

More information

X-Rays From Laser Plasmas

X-Rays From Laser Plasmas X-Rays From Laser Plasmas Generation and Applications I. C. E. TURCU CLRC Rutherford Appleton Laboratory, UK and J. B. DANCE JOHN WILEY & SONS Chichester New York Weinheim Brisbane Singapore Toronto Contents

More information

EUV lithography and Source Technology

EUV lithography and Source Technology EUV lithography and Source Technology History and Present Akira Endo Hilase Project 22. September 2017 EXTATIC, Prague Optical wavelength and EUV (Extreme Ultraviolet) VIS 13.5nm 92eV Characteristics of

More information

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS Chapter 7 EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS Hot dense plasma lasing medium d θ λ λ Visible laser pump Ch07_00VG.ai The Processes of Absorption, Spontaneous Emission, and Stimulated Emission Absorption

More information

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION Principles and Applications DAVID ATTWOOD UNIVERSITY OF CALIFORNIA, BERKELEY AND LAWRENCE BERKELEY NATIONAL LABORATORY CAMBRIDGE UNIVERSITY PRESS Contents

More information

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source Introduction and Outline A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source Rainer Lebert, AIXUV Target Features of High Brightness EUV Source LPP Concept to reach Specification Target

More information

Laser heating of noble gas droplet sprays: EUV source efficiency considerations

Laser heating of noble gas droplet sprays: EUV source efficiency considerations Laser heating of noble gas droplet sprays: EUV source efficiency considerations S.J. McNaught, J. Fan, E. Parra and H.M. Milchberg Institute for Physical Science and Technology University of Maryland College

More information

Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target

Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target M. Vrbova 1, P. Vrba 2, S.V. Zakharov 3, V.S. Zakharov 4, M. Müller 5, D. Pánek 1, T. Parkman 1, P.Brůža 1 1 Czech Technical

More information

Optimization of laser-produced plasma light sources for EUV lithography

Optimization of laser-produced plasma light sources for EUV lithography page 1 of 17 Optimization of laser-produced plasma light sources for EUV lithography M. S. Tillack and Y. Tao 1 University of California, San Diego Center for Energy Research 1 Currently at Cymer Inc.

More information

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Advanced Materials Research Center, AMRC, International SEMATECH Manufacturing Initiative, and

More information

Status of EUV Sources for Mask Metrology

Status of EUV Sources for Mask Metrology Status of EUV Sources for Mask Metrology Vivek Bakshi, Ph.D. EUV Litho Inc. 10202 Womack Road, Austin, TX 78748 USA www.euvlitho.com vivek.bakshi@euvlitho.com Outline Background Current Technology Status

More information

Plasma spectroscopy when there is magnetic reconnection associated with Rayleigh-Taylor instability in the Caltech spheromak jet experiment

Plasma spectroscopy when there is magnetic reconnection associated with Rayleigh-Taylor instability in the Caltech spheromak jet experiment Plasma spectroscopy when there is magnetic reconnection associated with Rayleigh-Taylor instability in the Caltech spheromak jet experiment KB Chai Korea Atomic Energy Research Institute/Caltech Paul M.

More information

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Yezheng Tao*, Mark Tillack, Kevin Sequoia, Russel Burdt, Sam Yuspeh, and Farrokh Najmabadi University of California, San Diego

More information

Progress in LPP EUV Source Development by Japan MEXT Project

Progress in LPP EUV Source Development by Japan MEXT Project Progress in LPP EUV Source Development by Japan MEXT Project Y. Izawa, N. Miyanaga, H. Nishimura, S. Fujioka, T. Aota, K. Nagai, T. Norimatsu,K. Nishihara, M. Murakami, Y. -G. Kang, M. Nakatsuka, H. Fujita,

More information

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application EUV light source plasma Tin icrodroplet Main pulse (CO2 laser pulse) Pre-pulse (Nd:YAG laser

More information

Peculiarities of Modeling LPP Source at 6.X nm

Peculiarities of Modeling LPP Source at 6.X nm V.Novikov, V.Ivanov, K.Koshelev, V.Krivtsun, A.Grushin, R.Kildiyarova, A.Solomyannaya Peculiarities of Modeling LPP Source at 6.X nm Outline Theoretical base Optimal plasma parameters Band position Scaling

More information

Large Plasma Device (LAPD)

Large Plasma Device (LAPD) Large Plasma Device (LAPD) Over 450 Access ports Computer Controlled Data Acquisition Microwave Interferometers Laser Induced Fluorescence DC Magnetic Field: 0.05-4 kg, variable on axis Highly Ionized

More information

Evaluation at the intermediate focus for EUV Light Source

Evaluation at the intermediate focus for EUV Light Source Evaluation at the intermediate focus for EUV Light Source Takashi Suganuma, Georg Soumagne, Masato Moriya, Tamotsu Abe, Akira Sumitani, Akira Endo Extreme Ultraviolet Lithography System Development Association

More information

COST MP0601 Short Wavelength Laboratory Sources

COST MP0601 Short Wavelength Laboratory Sources Background: Short wavelength radiation has been used in medicine and materials studies since immediately after the 1895 discovery of X-rays. The development of synchrotron sources over the last ~25 years

More information

Chapter 10: Wave Properties of Particles

Chapter 10: Wave Properties of Particles Chapter 10: Wave Properties of Particles Particles such as electrons may demonstrate wave properties under certain conditions. The electron microscope uses these properties to produce magnified images

More information

Light Source I. Takashi TANAKA (RIKEN SPring-8 Center) Cheiron 2012: Light Source I

Light Source I. Takashi TANAKA (RIKEN SPring-8 Center) Cheiron 2012: Light Source I Light Source I Takashi TANAKA (RIKEN SPring-8 Center) Light Source I Light Source II CONTENTS Introduction Fundamentals of Light and SR Overview of SR Light Source Characteristics of SR (1) Characteristics

More information

Vladimir Novikov. 4 th July nd October 2015

Vladimir Novikov. 4 th July nd October 2015 4 th July 1950 2 nd October 2015 was born in Kemerovo, Siberia Alma Mater Moscow Institute of Physics and Technology In 1973 Vladimir received M.Sc in Physics from MIPT Keldysh Institute of Applied Mathematics,

More information

Experimental Studies in a Gas Embedded Z-pinch Operating at Mega Amperes Currents

Experimental Studies in a Gas Embedded Z-pinch Operating at Mega Amperes Currents 1 IC/P7-2 Experimental Studies in a Gas Embedded Z-pinch Operating at Mega Amperes Currents L. Soto 1), C. Pavez 2), J. Moreno 1), P. Silva 1), M. Zambra 1), G. Sylvester 1) 1) Comisión Chilena de Energía

More information

Detecting high energy photons. Interactions of photons with matter Properties of detectors (with examples)

Detecting high energy photons. Interactions of photons with matter Properties of detectors (with examples) Detecting high energy photons Interactions of photons with matter Properties of detectors (with examples) Interactions of high energy photons with matter Cross section/attenution length/optical depth Photoelectric

More information

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources A. Hassanein, V. Sizyuk, S.S. Harilal, and T. Sizyuk School of Nuclear Engineering and Center

More information

Assessment of the Azimuthal Homogeneity of the Neutral Gas in a Hall Effect Thruster using Electron Beam Fluorescence

Assessment of the Azimuthal Homogeneity of the Neutral Gas in a Hall Effect Thruster using Electron Beam Fluorescence Assessment of the Azimuthal Homogeneity of the Neutral Gas in a Hall Effect Thruster using Electron Beam Fluorescence IEPC-2015-91059 / ISTS-2015-b-91059 Presented at Joint Conference of 30th International

More information

Laser Supported Detonation in Silica-based Optical Fibers

Laser Supported Detonation in Silica-based Optical Fibers 25 th ICDERS August 2 7, 2015 Leeds, UK Laser Supported Detonation in Silica-based Optical Fibers Vladimir P. Efremov, Artem A. Frolov, and Vladimir E. Fortov Joint Institute for High Temperatures of Russian

More information

Laser and pinching discharge plasmas spectral characteristics in water window region

Laser and pinching discharge plasmas spectral characteristics in water window region Laser and pinching discharge plasmas spectral characteristics in water window region P Kolar 1, M Vrbova 1, M Nevrkla 2, P Vrba 2, 3 and A Jancarek 2 1 Czech Technical University in Prague, Faculty of

More information

188 L. Jakubowski and M.J. Sadowski temperature. Some examples of the registered X-ray images are shown in Fig.1. Figure 1. X-ray pinhole images from

188 L. Jakubowski and M.J. Sadowski temperature. Some examples of the registered X-ray images are shown in Fig.1. Figure 1. X-ray pinhole images from Brazilian Journal of Physics, vol. 32, no. 1, March, 2002 187 Hot-Spots in Plasma-Focus Discharges as Intense Sources of Different Radiation Pulses L. Jakubowski and M.J. Sadowski The Andrzej Soltan Institute

More information

PHYSICS QUESTION PAPER CLASS-XII

PHYSICS QUESTION PAPER CLASS-XII PHYSICS QUESTION PAPER CLASS-XII Time : 3.00 Hours] [Maximum Marks : 100 Instructions : 1. There are A, B, C and D sections, containing 60 questions in this question paper. 2. Symbols used in this question

More information

Stepwise Solution Important Instructions to examiners:

Stepwise Solution Important Instructions to examiners: (ISO/IEC - 700-005 Certified) SUMMER 05 EXAMINATION Subject Code: 70 Model Answer (Applied Science- Physics) Page No: 0/6 Que. No. Sub. Que. Important Instructions to examiners: ) The answers should be

More information

Plasma EUV source has been studied to achieve 180W of power at λ=13.5nm, which is required for the next generation microlithography

Plasma EUV source has been studied to achieve 180W of power at λ=13.5nm, which is required for the next generation microlithography Acknowledgement K. Nishihara, H. Nishimura, S. Fujioka Institute for Laser Engineering, Osaka University A. Sunahara, H. Furukawa Institute for Laser Technology T. Nishikawa, Okayama University F. Koike,

More information

EUV Source Developments on Laser-Produced Plasmas using Lithium New Scheme Target

EUV Source Developments on Laser-Produced Plasmas using Lithium New Scheme Target San Diego, 25.11.7-9 EUV Source Developments on Laser-Produced Plasmas using thium New Scheme Target Shuji MIYAMOTO, Sho AMANO, Takahiro INOUE Petru-Edward NICA, Atsushi SHIMOURA Kakyo KAKU, and Takayasu

More information

Waseda University. Design of High Brightness Laser-Compton Light Source for EUV Lithography Research in Shorter Wavelength Region

Waseda University. Design of High Brightness Laser-Compton Light Source for EUV Lithography Research in Shorter Wavelength Region Waseda University Research Institute for Science and Engineering Design of High Brightness Laser-Compton Light Source for EUV Lithography Research in Shorter Wavelength Region Research Institute for Science

More information

Detection of X-Rays. Solid state detectors Proportional counters Microcalorimeters Detector characteristics

Detection of X-Rays. Solid state detectors Proportional counters Microcalorimeters Detector characteristics Detection of X-Rays Solid state detectors Proportional counters Microcalorimeters Detector characteristics Solid State X-ray Detectors X-ray interacts in material to produce photoelectrons which are collected

More information

5. You may use the following values of physical constants wherever necessary. Class XII Physics (042) Sample Question Paper

5. You may use the following values of physical constants wherever necessary. Class XII Physics (042) Sample Question Paper Class XII Physics (04) Sample Question Paper 018-19 Time allowed: hours. Max. Marks: 70 General Instructions: 1. All questions are compulsory. There are 7 questions in all.. This question paper has four

More information

(i) Show that the energy of a single photon is about 3 x J.

(i) Show that the energy of a single photon is about 3 x J. 1(a) A helium-neon laser emits red light of wavelength 6.3 x 10 7 m. (i) Show that the energy of a single photon is about 3 x 10 19 J. [2] The power of the laser beam is 1.0 mw. Show that about 3 x 10

More information

Electron-Acoustic Wave in a Plasma

Electron-Acoustic Wave in a Plasma Electron-Acoustic Wave in a Plasma 0 (uniform ion distribution) For small fluctuations, n ~ e /n 0

More information

Physik und Anwendungen von weicher Röntgenstrahlung I (Physics and applications of soft X-rays I)

Physik und Anwendungen von weicher Röntgenstrahlung I (Physics and applications of soft X-rays I) Physik und Anwendungen von weicher Röntgenstrahlung I (Physics and applications of soft X-rays I) Sommersemester 2015 Veranstalter : Prof. Dr. Ulf Kleineberg (ulf.kleineberg@physik.uni-muenchen.de) LMU,

More information

INTEGRAL AND SPECTRAL CHARACTERISTICS OF ATON STATIONARY PLASMA THRUSTER OPERATING ON KRYPTON AND XENON

INTEGRAL AND SPECTRAL CHARACTERISTICS OF ATON STATIONARY PLASMA THRUSTER OPERATING ON KRYPTON AND XENON 1 INTEGRAL AND SPECTRAL CHARACTERISTICS OF ATON STATIONARY PLASMA THRUSTER OPERATING ON KRYPTON AND XENON A.I.Bugrova, A.I.Morozov *, A.S.Lipatov, A.M.Bishaev, V.K.Kharchevnikov, M.V.Kozintseva. Moscow

More information

Name the region of the electromagnetic radiation emitted by the laser. ...

Name the region of the electromagnetic radiation emitted by the laser. ... 1. An argon-laser emits electromagnetic radiation of wavelength 5.1 10 7 m. The radiation is directed onto the surface of a caesium plate. The work function energy for caesium is 1.9 ev. (i) Name the region

More information

Atomic Physics. Chapter 6 X ray. Jinniu Hu 24/12/ /20/13

Atomic Physics. Chapter 6 X ray. Jinniu Hu 24/12/ /20/13 Atomic Physics Chapter 6 X ray 11/20/13 24/12/2018 Jinniu Hu 1!1 6.1 The discovery of X ray X-rays were discovered in 1895 by the German physicist Wilhelm Roentgen. He found that a beam of high-speed electrons

More information

EXPERIMENTS CHARACTERIZING THE X-RAY EMISSION FROM A SOLID-STATE CATHODE USING A HIGH-CURRENT GLOW DISCHARGE

EXPERIMENTS CHARACTERIZING THE X-RAY EMISSION FROM A SOLID-STATE CATHODE USING A HIGH-CURRENT GLOW DISCHARGE EXPERIMENTS CHARACTERIZING THE X-RAY EMISSION FROM A SOLID-STATE CATHODE USING A HIGH-CURRENT GLOW DISCHARGE A.B. KARABUT AND S.A. KOLOMEYCHENKO FSUE SIA LUCH 24 Zheleznodorozhnaja Street, Podolsk, Moscow

More information

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source 1 Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source D. Nakamura, T. Akiyama, K. Tamaru, A. Takahashi* and T. Okada Graduate School of Information Science and Electrical Engineering,

More information

CBSE_2014_SET_3 Physics

CBSE_2014_SET_3 Physics CBSE_2014_SET_3 Physics 1. A conducting loop is held below a current carrying wire PQ as shown. Predict the direction of the induced current in the loop when the current in the wire is constantly increasing.

More information

General Physics II Summer Session 2013 Review Ch - 16, 17, 18

General Physics II Summer Session 2013 Review Ch - 16, 17, 18 95.104 General Physics II Summer Session 2013 Review Ch - 16, 17, 18 A metal ball hangs from the ceiling by an insulating thread. The ball is attracted to a positivecharged rod held near the ball. The

More information

Investigation of Water Fragments

Investigation of Water Fragments National Nuclear Research University MEPhI Federal State Autonomous Institution for Higher Education 31 Kashirskoe shosse 115409 Moscow, Russia VAT registration number, 7724068140 REG. No 1037739366477

More information

EUV-Technology with Discharge EUV-Lamp"

EUV-Technology with Discharge EUV-Lamp EUV-Technology with Discharge EUV-Lamp" Rainer Lebert, Larissa Juschkin, Christian Wies, Bernhard Jägle, Manfred Meisen, Ulrich Bieberle, Willi Neff, Juri Barthel, Konstantin Walter, Klaus Bergmann, Fraunhofer

More information

PHYSICS OF HOT DENSE PLASMAS

PHYSICS OF HOT DENSE PLASMAS Chapter 6 PHYSICS OF HOT DENSE PLASMAS 10 26 10 24 Solar Center Electron density (e/cm 3 ) 10 22 10 20 10 18 10 16 10 14 10 12 High pressure arcs Chromosphere Discharge plasmas Solar interior Nd (nω) laserproduced

More information

PRE-BOARD EXAMINATION STD : XII MARKS : 150

PRE-BOARD EXAMINATION STD : XII MARKS : 150 PRE-BOARD EXAMINATION STD : XII MARKS : 150 SUB : PHYSICS TIME : 3.00 Hrs I.Choose the correct answer: 30x1=30 1.Which of the following quantities not a scalar? a)electric flux b) electric potential c)

More information

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas I. Kambali, G. Atom O Sullivan Indonesia / Atom Vol. Indonesia 4 No. 2 (24) Vol. 47 No. - 2 (24) 7 - Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas I. Kambali * and G. O Sullivan

More information

Lasers and Electro-optics

Lasers and Electro-optics Lasers and Electro-optics Second Edition CHRISTOPHER C. DAVIS University of Maryland III ^0 CAMBRIDGE UNIVERSITY PRESS Preface to the Second Edition page xv 1 Electromagnetic waves, light, and lasers 1

More information

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like Far IR Gas Lasers 10-1500 microns wavelengths, 300 10 THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like microwave signal than light Created by Molecular vibronic

More information

Sliced multilayer gratings (SMG) as dispersive elements for the soft X-rays

Sliced multilayer gratings (SMG) as dispersive elements for the soft X-rays Sliced multilayer gratings (SMG) as dispersive elements for the soft X-rays E. A. Bugaev, V.A. Chirkov, R.M. Feshchenko*, V.P. Petukhov, A.V. Vinogradov, D.L. Voronov, V.A. Tokarev International Conference

More information

For more sample papers visit :

For more sample papers visit : PHYSICS (THEORY) (Three hours) For more sample papers visit : www.4ono.com Answer all questions in Part I and six questions from Part II, choosing two questions from each of the Sections A, B and C. All

More information

Needle cathodes for high-brightness beams. Chase Boulware Jonathan Jarvis Heather Andrews Charlie Brau

Needle cathodes for high-brightness beams. Chase Boulware Jonathan Jarvis Heather Andrews Charlie Brau Needle cathodes for high-brightness beams Chase Boulware Jonathan Jarvis Heather Andrews Charlie Brau Outline of the talk What is brightness? Definition Sources Why is brightness important? Light sources

More information

Ch. 3. Pulsed and Water Cooled Magnets. T. J. Dolan. Magnetic field calculations

Ch. 3. Pulsed and Water Cooled Magnets. T. J. Dolan. Magnetic field calculations Ch. 3. Pulsed and Water Cooled Magnets T. J. Dolan Magnetic field calculations Coil forces RLC circuit equations Distribution of J and B Energy storage Switching and transmission Magnetic flux compression

More information

AQA Physics A-level Section 12: Turning Points in Physics

AQA Physics A-level Section 12: Turning Points in Physics AQA Physics A-level Section 12: Turning Points in Physics Key Points Discovery of electrons A discharge tube contains a low-pressure gas with a high potential difference across it. Electrons are pulled

More information

EXCESS HEAT PRODUCTION IN Pd/D DURING PERIODIC PULSE DISCHARGE CURRENT IN VARIOUS CONDITIONS

EXCESS HEAT PRODUCTION IN Pd/D DURING PERIODIC PULSE DISCHARGE CURRENT IN VARIOUS CONDITIONS Karabut, A.B. Excess Heat Production In Pd/D During Periodic Pulse Discharge Current Of Various Conditions. in Eleventh International Conference on Condensed Matter Nuclear Science. 2004. Marseille, France.

More information

= 6 (1/ nm) So what is probability of finding electron tunneled into a barrier 3 ev high?

= 6 (1/ nm) So what is probability of finding electron tunneled into a barrier 3 ev high? STM STM With a scanning tunneling microscope, images of surfaces with atomic resolution can be readily obtained. An STM uses quantum tunneling of electrons to map the density of electrons on the surface

More information

MT Electron microscopy Scanning electron microscopy and electron probe microanalysis

MT Electron microscopy Scanning electron microscopy and electron probe microanalysis MT-0.6026 Electron microscopy Scanning electron microscopy and electron probe microanalysis Eero Haimi Research Manager Outline 1. Introduction Basics of scanning electron microscopy (SEM) and electron

More information

Development of portable neutron generators based on pinch and plasma focus discharges 1

Development of portable neutron generators based on pinch and plasma focus discharges 1 Development of portable neutron generators based on pinch and plasma focus discharges 1 Leopoldo Soto*, José Moreno, Patricio Silva, Cristian Pavez, Miguel Cárdenas, and Luis Altamirano Comisión Chilena

More information

A Brief Introduction to Medical Imaging. Outline

A Brief Introduction to Medical Imaging. Outline A Brief Introduction to Medical Imaging Outline General Goals Linear Imaging Systems An Example, The Pin Hole Camera Radiations and Their Interactions with Matter Coherent vs. Incoherent Imaging Length

More information

high temp ( K) Chapter 20: Atomic Spectroscopy

high temp ( K) Chapter 20: Atomic Spectroscopy high temp (2000-6000K) Chapter 20: Atomic Spectroscopy 20-1. An Overview Most compounds Atoms in gas phase high temp (2000-6000K) (AES) (AAS) (AFS) sample Mass-to-charge (ICP-MS) Atomic Absorption experiment

More information

Energy Transformations in Z-Pinches

Energy Transformations in Z-Pinches Energy Transformations in Z-Pinches P. Kubeš, J. Kravárik Czech Technical University, Prague, Czech Republic M. Scholz, M. Paduch, K. Tomaszewski, L. Rić Institute of Plasma Physics and Laser Microfusion,

More information

Nanosecond-scale Processes in a Plasma Pilot for Ignition and Flame Control

Nanosecond-scale Processes in a Plasma Pilot for Ignition and Flame Control Nanosecond-scale Processes in a Plasma Pilot for Ignition and Flame Control Yu. D. Korolev, I. B. Matveev Institute of High Current Electronics, 634055 Tomsk, Russia Applied Plasma Technologies, Falls

More information

CBSE Examination Paper

CBSE Examination Paper CBSE Examination Paper Time allowed : 3 hours Maximum marks: 70 General Instructions: Same as CBSE Examination Paper SET I 1. Using the concept of force between two infinitely long parallel current carrying

More information

EUV sources using Xe and Sn discharge plasmas

EUV sources using Xe and Sn discharge plasmas INSTITUTE OF PHYSICS PUBLISHING JOURNAL OF PHYSICS D: APPLIED PHYSICS J. Phys. D: Appl. Phys. 37 (2004) 3254 3265 PII: S0022-3727(04)81553-5 EUV sources using Xe and Sn discharge plasmas Vladimir M Borisov,

More information

( 1+ A) 2 cos2 θ Incident Ion Techniques for Surface Composition Analysis Ion Scattering Spectroscopy (ISS)

( 1+ A) 2 cos2 θ Incident Ion Techniques for Surface Composition Analysis Ion Scattering Spectroscopy (ISS) 5.16 Incident Ion Techniques for Surface Composition Analysis 5.16.1 Ion Scattering Spectroscopy (ISS) At moderate kinetic energies (few hundred ev to few kev) ion scattered from a surface in simple kinematic

More information

Far IR (FIR) Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Far IR (FIR) Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like Far IR (FIR) Gas Lasers 10-1500 microns wavelengths, 300 10 THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like microwave signal than light Created by Molecular vibronic

More information

Chemistry Instrumental Analysis Lecture 19 Chapter 12. Chem 4631

Chemistry Instrumental Analysis Lecture 19 Chapter 12. Chem 4631 Chemistry 4631 Instrumental Analysis Lecture 19 Chapter 12 There are three major techniques used for elemental analysis: Optical spectrometry Mass spectrometry X-ray spectrometry X-ray Techniques include:

More information

Dynamics of a laser-assisted Z-pinch EUV source

Dynamics of a laser-assisted Z-pinch EUV source Dynamics of a laser-assisted Z-pinch EUV source Isaac Tobin Laser & Plasma Applications, School of Physics, Trinity College Dublin Supervisor Prof. James G. Lunney EUV Litho Source Workshop 6 th November

More information

Influence of an intensive UV preionization on evolution and EUV-emission of the laser plasma with Xe gas target (S12)

Influence of an intensive UV preionization on evolution and EUV-emission of the laser plasma with Xe gas target (S12) Influence of an intensive UV preionization on evolution and EUV-emission of the laser plasma with Xe gas target (S12) 2013 Int. Workshop on EUV and Soft X-ray Sources UCD, Dublin, November 4-7, 2013 A.Garbaruk

More information

Supplementary Figure 3. Transmission spectrum of Glass/ITO substrate.

Supplementary Figure 3. Transmission spectrum of Glass/ITO substrate. Supplementary Figure 1. The AFM height and SKPM images of PET/Ag-mesh/PH1000 and PET/Ag-mesh/PH1000/PEDOT:PSS substrates. (a, e) AFM height images on the flat PET area. (c, g) AFM height images on Ag-mesh

More information

A Multi-beamlet Injector for Heavy Ion Fusion: Experiments and Modeling

A Multi-beamlet Injector for Heavy Ion Fusion: Experiments and Modeling A Multi-beamlet Injector for Heavy Ion Fusion: Experiments and Modeling G.A. Westenskow, D.P. Grote; LLNL J.W. Kwan, F. Bieniosek; LBNL PAC07 - FRYAB01 Albuquerque, New Mexico June 29, 2007 This work has

More information

Gaetano L Episcopo. Scanning Electron Microscopy Focus Ion Beam and. Pulsed Plasma Deposition

Gaetano L Episcopo. Scanning Electron Microscopy Focus Ion Beam and. Pulsed Plasma Deposition Gaetano L Episcopo Scanning Electron Microscopy Focus Ion Beam and Pulsed Plasma Deposition Hystorical background Scientific discoveries 1897: J. Thomson discovers the electron. 1924: L. de Broglie propose

More information

MARKING SCHEME SET 55/1/G Q. No. Expected Answer / Value Points Marks Total Marks

MARKING SCHEME SET 55/1/G Q. No. Expected Answer / Value Points Marks Total Marks MARKING SCHEME SET 55//G Q. No. Expected Answer / Value Points Marks Total Marks Set,Q Set2,Q5 Set,Q2 Set,Q2 Set2,Q4 Set,Q5 Set,Q Set2,Q2 Set,Q4 Set,Q4 Set2,Q Set,Q Set,Q5 Set2,Q Set,Q Set,Q6 Set2,Q7 Set,Q0

More information

PHYSICS QUESTION PAPER CLASS-XII

PHYSICS QUESTION PAPER CLASS-XII PHYSICS QUESTION PAPER CLASS-XII Time : 3.00 Hours] [Maximum Marks : 100 Instructions : There are four sections and total 60 questions in this question paper. ( 1) (2) All symbols used in this question

More information

Discharge Cell Design. Some initial explorations, considerations and open questions

Discharge Cell Design. Some initial explorations, considerations and open questions Discharge Cell Design Some initial explorations, considerations and open questions Modelling plasma discharge circuit demands Based on paper from SPARC-LAB experiment (Nuc. Instrum Meth A 2015) Design

More information

A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target

A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target Takeshi Higashiguchi E-mail: higashi@opt.miyazaki-u.ac.jp Keita Kawasaki, Naoto Dojyo, Masaya Hamada, Wataru Sasaki,

More information

PH2200 Practice Final Exam Summer 2003

PH2200 Practice Final Exam Summer 2003 INSTRUCTIONS 1. Write your name and student identification number on the answer sheet. 2. Please cover your answer sheet at all times. 3. This is a closed book exam. You may use the PH2200 formula sheet

More information

Efficient EUV source by use of a micro-target containing tin nanoparticles

Efficient EUV source by use of a micro-target containing tin nanoparticles 2008 International Workshop on EUV Lithography Efficient EUV source by use of a micro-target containing tin nanoparticles Takeshi Higashiguchi higashi@cc.utsunomiya-u.ac.jp Utsunomiya University, Japan

More information

Praktikum zur. Materialanalytik

Praktikum zur. Materialanalytik Praktikum zur Materialanalytik Energy Dispersive X-ray Spectroscopy B513 Stand: 19.10.2016 Contents 1 Introduction... 2 2. Fundamental Physics and Notation... 3 2.1. Alignments of the microscope... 3 2.2.

More information

SPARCLAB. Source For Plasma Accelerators and Radiation Compton with Laser And Beam

SPARCLAB. Source For Plasma Accelerators and Radiation Compton with Laser And Beam SPARCLAB Source For Plasma Accelerators and Radiation Compton with Laser And Beam EMITTANCE X X X X X X X X Introduction to SPARC_LAB 2 BRIGHTNESS (electrons) B n 2I nx ny A m 2 rad 2 The current can be

More information

Good Luck! Mlanie LaRoche-Boisvert - Electromagnetism Electromagnetism and Optics - Winter PH. Electromagnetism and Optics - Winter PH

Good Luck! Mlanie LaRoche-Boisvert - Electromagnetism Electromagnetism and Optics - Winter PH. Electromagnetism and Optics - Winter PH 1 Notes: 1. To submit a problem, just click the Submit button under it. The Submit All button is not necessary. 2. A problem accepted as correct by CAPA will be highlighted in green. Once you see this,

More information

Dense plasma formation on the surface of a ferroelectric cathode

Dense plasma formation on the surface of a ferroelectric cathode Vacuum ] (]]]]) ]]] ]]] www.elsevier.com/locate/vacuum Dense plasma formation on the surface of a ferroelectric cathode K. Chirko, Ya.E. Krasik, A. Sayapin, J. Felsteiner Physics Department, Technion Israel

More information

Proportional Counters

Proportional Counters Proportional Counters 3 1 Introduction 3 2 Before we can look at individual radiation processes, we need to understand how the radiation is detected: Non-imaging detectors Detectors capable of detecting

More information

Preview from Notesale.co.uk Page 4 of 35

Preview from Notesale.co.uk Page 4 of 35 field 64 If a dielectric is inserted b/w the plates of a charged capacitor, its Remains Becomes infinite capacitance constant decreases increases 65 Selenium is an insulator in the dark but when exposed

More information

PHYSICS. Paper 1 (THEORY) Three hours and a quarter

PHYSICS. Paper 1 (THEORY) Three hours and a quarter PHYSICS Paper 1 (THEORY) Three hours and a quarter (The first 15 minutes of the examination are for reading the paper only. Candidates must NOT start writing during this time). -------------------------------------------------------------------

More information