Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas

Size: px
Start display at page:

Download "Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas"

Transcription

1 Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas Sergey V. Zakharov +, Peter Choi, Vasily S. Zakharov NANO UV sas EPPRA sas + also with RRC Kurchatov Institute, Moscow, Russia

2 OUTLINE High energy density plasma in EUVL ZETA Z* Z*BME RMHD codes Non-equilibrium plasma radiation kinetics plasma radiance limit highly charged Xe i emission LPP & Combined Nd:YAG-CO 2 laser pulse Nano-UV source modelling for EUV and soft X-ray source Modelling tool development in FIRE-project

3 High energy density laser or magnetically driven heavy ion plasmas Z-pinch Z machine 20MA W, Xe plasma Current density A/cm 2 Ion density cm -3 Electron temperature eV Emission energy 2MJ Radiance 1TW/mm 2 sr (200MW/mm 2 sr in 2% band at 13.5nm WL) Hohlraum target Laser energy 1.8MJ Au,U plasma Power density W/cm 2 Ion density cm -3 Electron temperature eV Radiance 0.5 TW/mm 2 sr (160MW/mm 2 sr in 2% band at 13.5nm WL)

4 EUV (13.5nm wavelength) lithography chosen for nano features microchip production HP NOW EUV for 22 nm HVM EUV source for HVM & actinic mask inspection - a key challenge facing the industry

5 EUV Light Source Sn, Xe, Li high energy density plasma (T e =20-40eV) - EUV light source in narrow 2% band around 13.5nm wavelength LPP & DPP - methods to produce the the right conditions for HED plasma LPP combined NdYAG +CO 2 I = W/cm 2 T e = 40eV N e = cm -3 DPP DPP micro plasma j = 1-10 MA/cm 2 T e = 20-30eV N e = cm -3 For HVM W of in-band IF with etendue < 3mm 2 sr For mask inspections ABI AIMS APMI W/mm 2 sr of time-average at-wavelength IF (up to 1-2 MW/mm 2 sr of peak value at source) kw (source) W (IF) is the source of the problem - Z * MHD code modeling

6 ZETA Z * RMHD Code Z * BME complete physical model TABLES nonlte atomic & spectral data (Te,ρ,U) Spectral postprocessin g RMHD (r,z+φ) with: spectral multigroup radiation transport in nonlte; nonstationary, nonlte ionization; sublimation condensation; energy supply (electric power, laser) etc DPP simulation in real geometry LPP Data output: r,z,v,t e,i,ρ,e,b,z,u ω, etc; visualization EMHD or 3D PIC with: ionization of weekly ionized plasma Heat flux postprocessing

7 ZETA Z * RMHD Code Z * BME effective numerical algorithms & schemes Numeric al diffusion! Adaptive grid? Detailed grid Euler variables Small time step! ( zero for plasma in magnetic field) Explicit scheme is stable conditionally RMHD LAGRANGE- EULER VARIABLES COMPLETELY CONSERVATIVE, IMPLICIT SCHEME PHYSICAL SOLUTION Grid crossing! Lagrange variables Adaptive grid? Non-conservative scheme No energy balance!

8 EUV Brightness Limit of a Source The intensity upper Planckian limit of a single spherical optically thick plasma source in Δλ/λ=2% band around λ=13.5nm I 2 2hc Δλ / λ 72 2 = ( MW / mm sr) 4 hc 92 λ λt T ( ev ) e 1 e Source with pulse duration τ and repetition rate f yields the time-average radiance L =I (τ f) At T 22eV L 1.1(W/mm 2 sr) τ(ns) f(khz) For τ =20 50ns L = (W/mm 2 sr)/khz. 1 EUV Radiance, MW/mm2 sr tin Z* Scan Effective Depth (rho2*r), gg2/cm3 2 5 R=0.04mm R=0.08mm R=0.16mm R=0.31mm R=0.625mm R=1.25mm R=2.5mm R=5mm Plasma self-absorption defines the limiting brightness of a single EUV source and required radiance The plasma parameters where EUV radiance is a maximum are not the same as that when the spectral efficiency is a maximum. - the Conversion Efficiency of a single. source decreases if the in-band EUV output increases (at the same operation frequency) tin g 2 /cm 5

9 EUV IF Power Limitation: prediction vs. observation Low temperature Xenon plasma EUV emission xenon Xenon plasma parameter scan with Z*-code showing the inband radiance limitation from XeI-XeXI ions Experimental observation of limitation of the inband EUV power at IF from xenon DPP source [M. Yoshioka et al. Alternative Litho. Tech. Proc. of SPIE, vol (2009)]

10 Bright EUV Emission from highly charged xenon ions Tokamak experimental data T Kato et al. J. Phys. B: At. Mol. Opt. Phys. 41 (2008) There are two regimes in transparent plasma of xenon: Low - Temperature (LT) with XeXI and High - Temperature (HT) with XeXVII-XeXXX ions contributing into 2% bandwidth at 13.5nm. For small size xenon plasma, the maximum EUV radiance in the HT can exceed the tin plasma emission xenon XeXXII - XeXXX produce bright 4f-4d*, 4d-4p*, 5p-4d* [White, O Sulivan] (3d n 4f 1 + 3d n 4p 1 3d n 4d 1 ) satellites in EUV range near 13.5nm XeXXII has ionization potential 619eV HT LT Z* Scan

11 High Degree Xenon Ionization - in plasma with fast electrons Relative ionic fraction Ion charge Calculated ionic fractions without and with fast electrons of 5keV energy and various fraction (0.5%-2%) Plasma temperature T = 40 ev Electron density N e =10 17 cm -3

12 EUV Emission of Highly Charged Xe Ions - from plasma with fast electrons T e =30eV 2% T e =80eV To produce the maximum EUV light power the double condition is required: + fast electrons have the energy of few kev to produce the highly charged ions + plasma has the temperature sufficient for the excitation of required transitions

13 EUV Emission of Highly Charged Xe Ions - from e-beam triggered discharge plasma EUV Measurement Capillary discharge. VUV spectrograph data Bright EUV emission in 2% band at 13.5 nm can be achieved from highly charged xenon ions in plasma with small percentage of fast electrons

14 Z* benchmarking of LPP source solid tin target at UCD Frame May 2007 ZSTAR - code output, cell values Z(cm) t= ns Max.T e = 45eV R(cm) Te(eV) Simulations of LPP at different laser intensities W/cm 2 (CE=2%) W/cm 2 (CE=2.2%) Instability of the critical layer is observed Frame May 2007 ZSTAR - code output, cell values Frame May 2007 ZSTAR - code output, cell values UTA v.s. Experiment Z(cm) t= ns Max.T e = 51eV R(cm) Te(eV) Z(cm) t= ns Snap-shot of EUV emitter (zoomed) R(cm) Geuv(MW/ccm) 1.9E E E E E E E E E E E E E E E E E E E E E E E E E+05

15 Combined Nd:YAG - CO 2 Laser System Collector Mirror Target Chamber sub-ns Nd:YAG laser (pre-pulse) Beam splitter EUV / 13.5nm ns-order CO 2 laser (main pulse) Sn Droplet Target

16 LPP Dynamics & EUV Emission Sn 20μm diameter tin droplet. 2.5mJ YAG laser prepulse energy. Main laser pulse: CO 2, 50mJ, 15ns, 100 μm FWHM spot size. during pre- and main laser pulse The delay time between laser pulses is 75ns.

17 Conversion Efficiency vs. pre-pulse to pulse delay time Target: 20μm diameter Sn droplet Pre-pulse laser: Nd:YAG, 10ns fwhm, 20μm spot size, pulse energy 2.5 & 5mJ Main pulse: CO 2 -laser, 15, 37 and 60ns fwhm, 100μm spot size 2% 100mJ, 15ns EUV brightness up to 10 W/mm 2 sr khz CE (in 2%) 200mJ, 50ns 200mJ, 50ns 100mJ 37ns

18 Capillary Discharge EUV Source electron beam and ionization wave Ionization cross-section of argon atoms Pre-ionization in capillary discharge (ion density n i ): - axial beam of run-away electrons, - ionization of the gas by beam and secondary electrons, - the ionization wave forces out the electric field

19 Capillary Discharge EUV Source increasing ionization degree effect At EUV emission maximum ρ = g/cm 3, Т е =18eV. Without taking into account of fast electrons the plasma ionization degree is <Z>=7.3; EUV yield is 6μJ. Plasma density dynamics 3D volumetric compression WITH 0.1% of fast electrons <Z>=8.8; EUV yield is 30μJ (26 μj in experiment).

20 Gen II EUV Source - characteristics from Z* modelling 19kV charge, 1.2 nf capacitor >19kV charge energy scan E EUV =56μJ/shot P =56mW/kHz in-band In-band EUV EUV energy energy per per shot, shot, μ J mj Energy scan calculated (in 2% band) Irradiance at peak signal (x ph/cm 2 /s) Stored energy, mj 2,5 2,0 1,5 1,0 0,5 peak irradiance linear fit of data 0,0 0,20 0,22 0,24 0,26 0,28 0,30 Stored energy (J) Experimental energy scan (20% band)

21 Next Generation Modelling Tools - FP7 IAPP project FIRE Theoretical models and robust modeling tools are developed under international collaboration in the frames of European FP7 IAPP project FIRE The FIRE project aims to substantially redevelop the Z* code to include improved atomic physics models and full 3- D plasma simulation of plasma dynamics spectral radiation transport non-equilibrium atomic kinetics with fast electrons transport of fast ions/electrons condensation, nucleation and transport nanosize particles. Modelling can be the key factor to scientific and technological solutions in EUVL source optimization with fast particles and debris to solve current EUVL source problems as well as extending their application to 22nm and beyond. The research and transfer of knowledge is focused on two major modeling applications; EUV source optimization for lithography and nanoparticle production for nanotechnology. Theoretical modelling will be benchmarked by LPP and DPP experiments

22 Acknowledgement R&D team & collaborators R&D team of EPPRA and Nano UV Pontificia Universidad Catolica de Chile RRC Kurchatov Institute, Moscow, Russia Keldysh Institute of Applied Mathematics RAS, Moscow, Russia University College Dublin King s College London EUVA, Manda Hiratsuka, Japan Sponsors - EU & French Government ANR EUVIL FP7 IAPP OSEO ANVAR RAKIA EUV LITHO, Inc.

High intensity EUV and soft X-ray X plasma sources modelling

High intensity EUV and soft X-ray X plasma sources modelling High intensity EUV and soft X-ray X plasma sources modelling Sergey V. Zakharov +, Vasily S. Zakharov +,Peter Choi, Alex Yu. Krukovskiy, Vladimir G. Novikov, Anna D. Solomyannaya NANO UV sas EPPRA sas

More information

EUV & Soft-X X Radiation Plasma Sources

EUV & Soft-X X Radiation Plasma Sources Properties of High-Intensity EUV & Soft-X X Radiation Plasma Sources Sergey V. Zakharov +, Vasily S. Zakharov 3, Peter Choi EPPRA sas, Villebon sur Yvette, France NRC Kurchatov Institute, Moscow, Russia

More information

High Brightness EUV Light Source System Development for Actinic Mask Metrology

High Brightness EUV Light Source System Development for Actinic Mask Metrology High Brightness EUV Light Source System Development for Actinic Mask Metrology Peter Choi, Sergey V. Zakharov, Raul Aliaga-Rossel, Aldrice Bakouboula, Otman Benali, Philippe Bove, Michèle Cau, Grainne

More information

Pulsed-power based bright EUV light source for metrology

Pulsed-power based bright EUV light source for metrology Pulsed-power based bright EUV light source for metrology Sergey V. Zakharov NaextStream sas, Buc, France sergey.zakharov@naextstream.com + also with NRC Kurchatov Institute, Moscow, Russia 1 Sources for

More information

High Brightness EUV Light Source for Actinic Inspection & Microscopy

High Brightness EUV Light Source for Actinic Inspection & Microscopy High Brightness EUV Light Source for Actinic Inspection & Microscopy P. Choi, V.S. Zakharov, S.V. Zakharov, R. Aliaga-Rossel, A. Bakouboula, O. Benali, P. Bove, M. Cau, G. Duffy, O. Iwase, B. Lebert, O.

More information

Properties of High Intensity EUV Micro-Plasma Pulsed Discharge EUV source

Properties of High Intensity EUV Micro-Plasma Pulsed Discharge EUV source Properties of High Intensity EUV Micro-Plasma Pulsed Discharge EUV source P. Choi, S.V. Zakharov, R. Aliaga Rossel, O. Benali, O. Sarroukh, V.S. Zakharov EPPRA NanoUV Abstract EPPRA has developed a unique

More information

High Brightness EUV Light Source for Metrology

High Brightness EUV Light Source for Metrology High Brightness EUV Light Source for Metrology Sergey V. Zakharov, Peter Choi, Vasily S. Zakharov EPPRA sas NANO UV sas Panel Discussion: Actinic Defect Inspection Technology for EUV MasksM 3 Remaining

More information

The Future of EUV sources: a FIRE perspective

The Future of EUV sources: a FIRE perspective The Future of EUV sources: a FIRE perspective C Fanara EPPRA sas 2010 International Workshop on Extreme Ultraviolet Sources University College Dublin Dublin, Ireland - November 13-15 2010 OUTLOOK 1. EPPRA:

More information

Padraig Dunne, UCD School of Physics Dublin, Ireland.

Padraig Dunne, UCD School of Physics Dublin, Ireland. Padraig Dunne, UCD School of Physics Dublin, Ireland. Contents Zurich Prague Dublin Padova Carl Zeiss Aachen ASML IMEC EPPRA Xtreme ISAN ISAN Progress in on line MLM carbon cleaning Progress in radiative

More information

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application EUV light source plasma Tin icrodroplet Main pulse (CO2 laser pulse) Pre-pulse (Nd:YAG laser

More information

Radiative Properties of Krypton Plasma & Emission of Krypton DPP Source in Water-Window Spectral Range

Radiative Properties of Krypton Plasma & Emission of Krypton DPP Source in Water-Window Spectral Range 203 International Workshop on EUV and Soft X-Ray Sources, November 3-7, 203, Dublin, Ireland Radiative Properties of Krypton Plasma & Emission of Krypton DPP Source in Water-Window Spectral Range Vassily

More information

Efficient EUV source by use of a micro-target containing tin nanoparticles

Efficient EUV source by use of a micro-target containing tin nanoparticles 2008 International Workshop on EUV Lithography Efficient EUV source by use of a micro-target containing tin nanoparticles Takeshi Higashiguchi higashi@cc.utsunomiya-u.ac.jp Utsunomiya University, Japan

More information

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source 3rd International EUVL Symposium NOVEMBER 1-4, 2004 Miyazaki, Japan Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source H. Tanaka, A. Matsumoto, K. Akinaga, A. Takahashi

More information

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source Introduction and Outline A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source Rainer Lebert, AIXUV Target Features of High Brightness EUV Source LPP Concept to reach Specification Target

More information

EUV lithography and Source Technology

EUV lithography and Source Technology EUV lithography and Source Technology History and Present Akira Endo Hilase Project 22. September 2017 EXTATIC, Prague Optical wavelength and EUV (Extreme Ultraviolet) VIS 13.5nm 92eV Characteristics of

More information

Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography

Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography P10 Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography A. Sunahara 1 K. Nishihara 2 A. Sasaki 3 1 Institute for Laser Technology (ILT) 2 Institute of Laser

More information

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Yezheng Tao*, Mark Tillack, Kevin Sequoia, Russel Burdt, Sam Yuspeh, and Farrokh Najmabadi University of California, San Diego

More information

Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target

Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target M. Vrbova 1, P. Vrba 2, S.V. Zakharov 3, V.S. Zakharov 4, M. Müller 5, D. Pánek 1, T. Parkman 1, P.Brůža 1 1 Czech Technical

More information

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source 1 Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source D. Nakamura, T. Akiyama, K. Tamaru, A. Takahashi* and T. Okada Graduate School of Information Science and Electrical Engineering,

More information

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources A. Hassanein, V. Sizyuk, S.S. Harilal, and T. Sizyuk School of Nuclear Engineering and Center

More information

Optimization of laser-produced plasma light sources for EUV lithography

Optimization of laser-produced plasma light sources for EUV lithography page 1 of 17 Optimization of laser-produced plasma light sources for EUV lithography M. S. Tillack and Y. Tao 1 University of California, San Diego Center for Energy Research 1 Currently at Cymer Inc.

More information

Status of EUV Sources for Mask Metrology

Status of EUV Sources for Mask Metrology Status of EUV Sources for Mask Metrology Vivek Bakshi, Ph.D. EUV Litho Inc. 10202 Womack Road, Austin, TX 78748 USA www.euvlitho.com vivek.bakshi@euvlitho.com Outline Background Current Technology Status

More information

Laser heating of noble gas droplet sprays: EUV source efficiency considerations

Laser heating of noble gas droplet sprays: EUV source efficiency considerations Laser heating of noble gas droplet sprays: EUV source efficiency considerations S.J. McNaught, J. Fan, E. Parra and H.M. Milchberg Institute for Physical Science and Technology University of Maryland College

More information

Peculiarities of Modeling LPP Source at 6.X nm

Peculiarities of Modeling LPP Source at 6.X nm V.Novikov, V.Ivanov, K.Koshelev, V.Krivtsun, A.Grushin, R.Kildiyarova, A.Solomyannaya Peculiarities of Modeling LPP Source at 6.X nm Outline Theoretical base Optimal plasma parameters Band position Scaling

More information

Rare-earth plasma extreme ultraviolet sources at nm for next generation semiconductor lithography

Rare-earth plasma extreme ultraviolet sources at nm for next generation semiconductor lithography Rare-earth plasma extreme ultraviolet sources at 6.5-6.7 nm for next generation semiconductor lithography Takeshi Higashiguchi 1 Takamitsu Otsuka 1, Deirdre Kilbane 3, John White 3, Noboru Yugami 1,2,

More information

Development of Radiation Hydrodynamic code STAR for EUV plasmas. Atsushi Sunahara. Institute for Laser Technology

Development of Radiation Hydrodynamic code STAR for EUV plasmas. Atsushi Sunahara. Institute for Laser Technology Development of Radiation Hydrodynamic code STAR for EUV plasmas Atsushi Sunahara suna@ile.osaka-u.ac.jp Institute for Laser Technology 2013 International Workshop on EUV and Soft x-ray Sources University

More information

Evaluation at the intermediate focus for EUV Light Source

Evaluation at the intermediate focus for EUV Light Source Evaluation at the intermediate focus for EUV Light Source Takashi Suganuma, Georg Soumagne, Masato Moriya, Tamotsu Abe, Akira Sumitani, Akira Endo Extreme Ultraviolet Lithography System Development Association

More information

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools Stephen F. Horne, Matthew M. Besen, Matthew J. Partlow, Donald K. Smith, Paul A. Blackborow, Deborah S. Gustafson Agenda Background

More information

Progress in LPP EUV Source Development by Japan MEXT Project

Progress in LPP EUV Source Development by Japan MEXT Project Progress in LPP EUV Source Development by Japan MEXT Project Y. Izawa, N. Miyanaga, H. Nishimura, S. Fujioka, T. Aota, K. Nagai, T. Norimatsu,K. Nishihara, M. Murakami, Y. -G. Kang, M. Nakatsuka, H. Fujita,

More information

Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source!

Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source! Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source! H. Nishimura 1, H. Matsukuma 1, K. Yoshida 1, T. Hosoda 1, A. Yogo 1,! N. Tanaka 1, S. Fujioka 1, K. Nishihara 1,! A.

More information

Development and Optimization of EUV Emission from Laser Produced Plasmas

Development and Optimization of EUV Emission from Laser Produced Plasmas Development and Optimization of EUV Emission from Laser Produced Plasmas Gerry O Sullivan School of Physics, University College Dublin, Belfield, Dublin 4, Ireland. 2011 International Workshop on EUV Lithography,

More information

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas I. Kambali, G. Atom O Sullivan Indonesia / Atom Vol. Indonesia 4 No. 2 (24) Vol. 47 No. - 2 (24) 7 - Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas I. Kambali * and G. O Sullivan

More information

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS Chapter 7 EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS Hot dense plasma lasing medium d θ λ λ Visible laser pump Ch07_00VG.ai The Processes of Absorption, Spontaneous Emission, and Stimulated Emission Absorption

More information

Plasma EUV source has been studied to achieve 180W of power at λ=13.5nm, which is required for the next generation microlithography

Plasma EUV source has been studied to achieve 180W of power at λ=13.5nm, which is required for the next generation microlithography Acknowledgement K. Nishihara, H. Nishimura, S. Fujioka Institute for Laser Engineering, Osaka University A. Sunahara, H. Furukawa Institute for Laser Technology T. Nishikawa, Okayama University F. Koike,

More information

Optimization of EUV Lithography Plasma Radiation Source Characteristics Using HELIOS-CR

Optimization of EUV Lithography Plasma Radiation Source Characteristics Using HELIOS-CR Optimization of EUV Lithography Plasma Radiation Source Characteristics Using HELIOS-CR J. J. MacFarlane, P. Wang, I. E. Golovkin, P. R. Woodruff Prism Computational Sciences, Inc. Madison, WI (USA) http://www.prism-cs.com

More information

Important processes in modeling and optimization of EUV lithography sources

Important processes in modeling and optimization of EUV lithography sources Important processes in modeling and optimization of UV lithography sources T. Sizyuk and A. Hassanein Center for Materials under xtreme nvironment, School of Nuclear ngineering Purdue University, West

More information

A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target

A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target Takeshi Higashiguchi E-mail: higashi@opt.miyazaki-u.ac.jp Keita Kawasaki, Naoto Dojyo, Masaya Hamada, Wataru Sasaki,

More information

Dynamics of a laser-assisted Z-pinch EUV source

Dynamics of a laser-assisted Z-pinch EUV source Dynamics of a laser-assisted Z-pinch EUV source Isaac Tobin Laser & Plasma Applications, School of Physics, Trinity College Dublin Supervisor Prof. James G. Lunney EUV Litho Source Workshop 6 th November

More information

UC San Diego EUV Lithography Group Progress Report

UC San Diego EUV Lithography Group Progress Report University of California, San Diego UCSD-CER-10-02 UC San Diego EUV Lithography Group Progress Report M. S. Tillack, Y. Tao, F. Najmabadi, L. Carlson, S. Yuspeh, R. Burdt, A. Farkas, N. Shaikh, N. Amin,

More information

EUV sources using Xe and Sn discharge plasmas

EUV sources using Xe and Sn discharge plasmas INSTITUTE OF PHYSICS PUBLISHING JOURNAL OF PHYSICS D: APPLIED PHYSICS J. Phys. D: Appl. Phys. 37 (2004) 3254 3265 PII: S0022-3727(04)81553-5 EUV sources using Xe and Sn discharge plasmas Vladimir M Borisov,

More information

Magnetic fields applied to laser-generated plasma to enhance the ion yield acceleration

Magnetic fields applied to laser-generated plasma to enhance the ion yield acceleration Magnetic fields applied to laser-generated plasma to enhance the ion yield acceleration L. Torrisi, G. Costa, and G. Ceccio Dipartimento di Scienze Fisiche MIFT, Università di Messina, V.le F.S. D Alcontres

More information

Comparison of EUV spectral and ion emission features from laserproduced

Comparison of EUV spectral and ion emission features from laserproduced Comparison of EUV spectral and ion emission features from laserproduced and plasmas R. W. Coons, D. Campos, M. Crank, S. S. Harilal, and A. Hassanein School of Nuclear Engineering, and Center for Materials

More information

Vladimir Novikov. 4 th July nd October 2015

Vladimir Novikov. 4 th July nd October 2015 4 th July 1950 2 nd October 2015 was born in Kemerovo, Siberia Alma Mater Moscow Institute of Physics and Technology In 1973 Vladimir received M.Sc in Physics from MIPT Keldysh Institute of Applied Mathematics,

More information

Influence of an intensive UV preionization on evolution and EUV-emission of the laser plasma with Xe gas target (S12)

Influence of an intensive UV preionization on evolution and EUV-emission of the laser plasma with Xe gas target (S12) Influence of an intensive UV preionization on evolution and EUV-emission of the laser plasma with Xe gas target (S12) 2013 Int. Workshop on EUV and Soft X-ray Sources UCD, Dublin, November 4-7, 2013 A.Garbaruk

More information

Research Article Development of Laser-Produced Tin Plasma-Based EUV Light Source Technology for HVM EUV Lithography

Research Article Development of Laser-Produced Tin Plasma-Based EUV Light Source Technology for HVM EUV Lithography Physics Research International Volume, Article ID 49495, pages doi:.55//49495 Research Article Development of Laser-Produced Tin Plasma-Based EUV Light Source Technology for HVM EUV Lithography Junichi

More information

Utsunomiya University Experiments, September - November 2011

Utsunomiya University Experiments, September - November 2011 Colm O Gorman 1, Thomas Cummins 1, Takamitsu Otsuka 2, Noboru Yugami 2,4,Weihua Jiang 5, Akira Endo 6, Bowen Li 1, Padraig Dunne 1,Emma Sokell 1, Gerry O Sullivan 1 and Takeshi Higashiguchi 2,4 Utsunomiya

More information

CHARACTERISTICS OF ION EMISSION FROM CO 2 /Nd:YAG LPP WITH TIN TARGET

CHARACTERISTICS OF ION EMISSION FROM CO 2 /Nd:YAG LPP WITH TIN TARGET CHARACTERISTICS OF ION EMISSION FROM CO 2 /Nd:YAG LPP WITH TIN TARGET Akihiko Takahashi 1, Hiroki Tanaka 2, Atsushi Matsumoto 2, Yuuki Hashimoto 2, Kiichiro Uchino 3, Tatsuo Okada 2 1 Department of Health

More information

Plasma Source Modelling for Future Lithography at 6.7 nm and Other Applications

Plasma Source Modelling for Future Lithography at 6.7 nm and Other Applications Plasma Source Modelling for Future Lithography at 6.7 nm and Other Applications Gerry O Sullivan, Deirdre Kilbane, Li Bowen and Padraig Dunne, School of Physics, University College Dublin, Belfield, Dublin

More information

Laser triggered Z-pinch broadband extreme ultraviolet source for metrology

Laser triggered Z-pinch broadband extreme ultraviolet source for metrology Laser triggered Z-pinch broadband extreme ultraviolet source for metrology I. Tobin, L. Juschkin, Y. Sidelnikov, F. O Reilly, P. Sheridan et al. Citation: Appl. Phys. Lett. 102, 203504 (2013); doi: 10.1063/1.4807172

More information

Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements

Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements M. S. Tillack, Y. Tao, Y. Ueno*, R. Burdt, S. Yuspeh, A. Farkas, 2 nd TITAN workshop on MFE/IFE common research

More information

BEUV nanolithography: 6.7 or 11 nm?

BEUV nanolithography: 6.7 or 11 nm? BEUV nanolithography: 6.7 or 11 nm? N. I. Chkhalo, N. N. Salashchenko Institute for physics of microstructures of RAS, Nizhny Novgorod, Russia 2013 International Workshop on EUV and Soft X-Ray Dublin Ireland

More information

Laser trigged proton acceleration from ultrathin foil

Laser trigged proton acceleration from ultrathin foil Laser trigged proton acceleration from ultrathin foil A.V. Brantov 1, V. Yu. Bychenkov 1, D. V. Romanov 2, A. Maksimchuk 3 1 P. N. Lebedev Physics Institute RAS, Moscow 119991, Russia 2 All-Russia Research

More information

Radiation hydrodynamics of tin targets for laser-plasma EUV sources

Radiation hydrodynamics of tin targets for laser-plasma EUV sources Radiation hydrodynamics of tin targets for laser-plasma EUV sources M. M. Basko, V. G. Novikov, A. S. Grushin Keldysh Institute of Applied Mathematics, Moscow, Russia RnD-ISAN, Troitsk, Moscow, Russia

More information

X-Rays From Laser Plasmas

X-Rays From Laser Plasmas X-Rays From Laser Plasmas Generation and Applications I. C. E. TURCU CLRC Rutherford Appleton Laboratory, UK and J. B. DANCE JOHN WILEY & SONS Chichester New York Weinheim Brisbane Singapore Toronto Contents

More information

188 L. Jakubowski and M.J. Sadowski temperature. Some examples of the registered X-ray images are shown in Fig.1. Figure 1. X-ray pinhole images from

188 L. Jakubowski and M.J. Sadowski temperature. Some examples of the registered X-ray images are shown in Fig.1. Figure 1. X-ray pinhole images from Brazilian Journal of Physics, vol. 32, no. 1, March, 2002 187 Hot-Spots in Plasma-Focus Discharges as Intense Sources of Different Radiation Pulses L. Jakubowski and M.J. Sadowski The Andrzej Soltan Institute

More information

AMO physics with LCLS

AMO physics with LCLS AMO physics with LCLS Phil Bucksbaum Director, Stanford PULSE Center SLAC Strong fields for x-rays LCLS experimental program Experimental capabilities End-station layout PULSE Ultrafast X-ray Summer June

More information

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine Content EUV lithography: History and status EUV sources- historical perspective: Age of choice Age of Xe Age of Sn Age

More information

INTERNATIONAL ATOMIC ENERGY AGENCY Division of Physical and Chemical Sciences Physics Section

INTERNATIONAL ATOMIC ENERGY AGENCY Division of Physical and Chemical Sciences Physics Section INTERNATIONAL ATOMIC ENERGY AGENCY Division of Physical and Chemical Sciences Physics Section Second Research Co-ordination Meeting Co-ordinated of the ordinated Research Project on Elements of Power Plant

More information

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources Kazutoshi Takenoshita, Chiew-Seng Koay, Somsak Teerawattansook, & Martin Richardson Laser Plasma Laboratory,

More information

Consequences of high-frequency operation on EUV source efficiency

Consequences of high-frequency operation on EUV source efficiency Consequences of high-frequency operation on EUV source efficiency Tatyana Sizyuk Center for Materials under Extreme Environment (CMUXE), School of Nuclear Engineering Purdue University, West Lafayette,

More information

Progress in Vlasov-Fokker- Planck simulations of laserplasma

Progress in Vlasov-Fokker- Planck simulations of laserplasma Progress in Vlasov-Fokker- Planck simulations of laserplasma interactions C. P. Ridgers, M. W. Sherlock, R. J. Kingham, A.Thomas, R. Evans Imperial College London Outline Part 1 simulations of long-pulse

More information

High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources *

High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources * High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources * J.D. Hybl**, T.Y. Fan, W.D. Herzog, T.H. Jeys, D.J.Ripin, and A. Sanchez 2008 International Workshop on EUV Lithography

More information

COST MP0601 Short Wavelength Laboratory Sources

COST MP0601 Short Wavelength Laboratory Sources Background: Short wavelength radiation has been used in medicine and materials studies since immediately after the 1895 discovery of X-rays. The development of synchrotron sources over the last ~25 years

More information

Emission characteristics of debris from CO 2 and Nd:YAG laser-produced tin plasmas for extreme ultraviolet lithography light source

Emission characteristics of debris from CO 2 and Nd:YAG laser-produced tin plasmas for extreme ultraviolet lithography light source Appl. Phys. B 92, 73 77 (2008) DOI: 10.1007/s00340-008-3068-5 Applied Physics B Lasers and Optics a. takahashi 1, d. nakamura 2 k. tamaru 2 t. akiyama 2 t. okada 2 Emission characteristics of debris from

More information

Laser-driven intense X-rays : Studies at RRCAT

Laser-driven intense X-rays : Studies at RRCAT Laser-driven intense X-rays : Studies at RRCAT B. S. Rao Laser Plasma Division Team Effort Principal contributors : Experiment: P. D. Gupta, P. A. Naik, J. A. Chakera, A. Moorti, V. Arora, H. Singhal,

More information

Modeling Nonlinear Optics Of Plasmas (Relevant To IFE)

Modeling Nonlinear Optics Of Plasmas (Relevant To IFE) Modeling Nonlinear Optics Of Plasmas (Relevant To IFE) F.S.Tsung and the UCLA Simulation Group University of California, Los Angeles (UCLA) 1 F. S. Tsung/OSIRIS Workshop 2017 Summary/Conclusion Introduction

More information

Experimental Studies in a Gas Embedded Z-pinch Operating at Mega Amperes Currents

Experimental Studies in a Gas Embedded Z-pinch Operating at Mega Amperes Currents 1 IC/P7-2 Experimental Studies in a Gas Embedded Z-pinch Operating at Mega Amperes Currents L. Soto 1), C. Pavez 2), J. Moreno 1), P. Silva 1), M. Zambra 1), G. Sylvester 1) 1) Comisión Chilena de Energía

More information

Radiation-Hydrodynamics, Spectral, and Atomic Physics Modeling of Laser-Produced Plasma EUV Lithography Light Sources

Radiation-Hydrodynamics, Spectral, and Atomic Physics Modeling of Laser-Produced Plasma EUV Lithography Light Sources Radiation-Hydrodynamics, Spectral, and Atomic Physics Modeling of aser-produced Plasma EUV ithography ight Sources J. J. MacFarlane, C.. Rettig, P. Wang, I. E. Golovkin, and P. R. Woodruff Prism Computational

More information

Nonlinear Optics (WiSe 2015/16) Lecture 12: January 15, 2016

Nonlinear Optics (WiSe 2015/16) Lecture 12: January 15, 2016 Nonlinear Optics (WiSe 2015/16) Lecture 12: January 15, 2016 12 High Harmonic Generation 12.1 Atomic units 12.2 The three step model 12.2.1 Ionization 12.2.2 Propagation 12.2.3 Recombination 12.3 Attosecond

More information

Laser and pinching discharge plasmas spectral characteristics in water window region

Laser and pinching discharge plasmas spectral characteristics in water window region Laser and pinching discharge plasmas spectral characteristics in water window region P Kolar 1, M Vrbova 1, M Nevrkla 2, P Vrba 2, 3 and A Jancarek 2 1 Czech Technical University in Prague, Faculty of

More information

Large Plasma Device (LAPD)

Large Plasma Device (LAPD) Large Plasma Device (LAPD) Over 450 Access ports Computer Controlled Data Acquisition Microwave Interferometers Laser Induced Fluorescence DC Magnetic Field: 0.05-4 kg, variable on axis Highly Ionized

More information

Investigations on warm dense plasma with PHELIX facility

Investigations on warm dense plasma with PHELIX facility 2 nd EMMI Workshop on Plasma Physics with Intense Laser and Heavy Ion Beams, May 14-15, Moscow Investigations on warm dense plasma with PHELIX facility S.A. Pikuz Jr., I.Yu. Skobelev, A.Ya. Faenov, T.A.

More information

EUV spectroscopy of mass-limited Sn-doped laser microplasmas

EUV spectroscopy of mass-limited Sn-doped laser microplasmas EUV spectroscopy of mass-limited Sn-doped laser microplasmas Simi George, Chiew-Seng Koay, Kazutoshi Takenoshita, Robert Bernath, Moza Al-Rabban a, Christian Keyser b, Vivek Bakshi c, Howard Scott d, &

More information

Laser plasma EUVL sources progress and challenges

Laser plasma EUVL sources progress and challenges Laser plasma EUVL sources progress and challenges M. Richardson, C-S. Koay, K. Takenoshita, C. Keyser *, S. George, S. Teerawattansook Laser Plasma Laboratory, School of Optics: CREOL & FPCE University

More information

LDLS Laser-Driven Light Source

LDLS Laser-Driven Light Source LDLS Laser-Driven Light Source From The Innovators in Light ENERGETIQ 7/8/2011 1 Peter J. Dwyer, Ph.D. pdwyer@energetiq.com +1 781 939 0763 x 141 The LDLS Product Range EQ-99FC LDLS System EQ-1500 LDLS

More information

plasma optics Amplification of light pulses: non-ionised media

plasma optics Amplification of light pulses: non-ionised media Amplification of light pulses: non-ionised media since invention of laser: constant push towards increasing focused intensity of the light pulses Chirped pulse amplification D. Strickland, G. Mourou, Optics

More information

Diagnostic Systems for Characterizing Electron Sources at the Photo Injector Test Facility at DESY, Zeuthen site

Diagnostic Systems for Characterizing Electron Sources at the Photo Injector Test Facility at DESY, Zeuthen site 1 Diagnostic Systems for Characterizing Electron Sources at the Photo Injector Test Facility at DESY, Zeuthen site Sakhorn Rimjaem (on behalf of the PITZ team) Motivation Photo Injector Test Facility at

More information

Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation

Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation N. Brimhall *, J. C. Painter, M. Turner, S. V. Voronov, R. S. Turley, M. Ware, and J. Peatross Department of Physics

More information

EUV Source Developments on Laser-Produced Plasmas using Lithium New Scheme Target

EUV Source Developments on Laser-Produced Plasmas using Lithium New Scheme Target San Diego, 25.11.7-9 EUV Source Developments on Laser-Produced Plasmas using thium New Scheme Target Shuji MIYAMOTO, Sho AMANO, Takahiro INOUE Petru-Edward NICA, Atsushi SHIMOURA Kakyo KAKU, and Takayasu

More information

PHYSICS OF HOT DENSE PLASMAS

PHYSICS OF HOT DENSE PLASMAS Chapter 6 PHYSICS OF HOT DENSE PLASMAS 10 26 10 24 Solar Center Electron density (e/cm 3 ) 10 22 10 20 10 18 10 16 10 14 10 12 High pressure arcs Chromosphere Discharge plasmas Solar interior Nd (nω) laserproduced

More information

High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources *

High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources * High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources * J.D. Hybl**, T.Y. Fan, W.D. Herzog, T.H. Jeys, D.J.Ripin, and A. Sanchez EUV Source Workshop 29 May 2009 * This work

More information

The MID instrument.

The MID instrument. The MID instrument International Workshop on the Materials Imaging and Dynamics Instrument at the European XFEL Grenoble, Oct 28/29, 2009 Thomas Tschentscher thomas.tschentscher@xfel.eu Outline 2 History

More information

PIs: Louis DiMauro & Pierre Agostini

PIs: Louis DiMauro & Pierre Agostini Interaction of Clusters with Intense, Long Wavelength Fields PIs: Louis DiMauro & Pierre Agostini project objective: explore intense laser-cluster interactions in the strong-field limit project approach:

More information

4 FEL Physics. Technical Synopsis

4 FEL Physics. Technical Synopsis 4 FEL Physics Technical Synopsis This chapter presents an introduction to the Free Electron Laser (FEL) physics and the general requirements on the electron beam parameters in order to support FEL lasing

More information

Laser Ablation for Chemical Analysis: 50 Years. Rick Russo Laser Damage Boulder, CA September 25, 2012

Laser Ablation for Chemical Analysis: 50 Years. Rick Russo Laser Damage Boulder, CA September 25, 2012 Laser Ablation for Chemical Analysis: 50 Years Rick Russo Lawrence Berkeley National Laboratory Applied Spectra, Inc 2012 Laser Damage Boulder, CA September 25, 2012 Laser Ablation for Chemical Analysis:

More information

Inves&ga&on of atomic processes in laser produced plasmas for the short wavelength light sources

Inves&ga&on of atomic processes in laser produced plasmas for the short wavelength light sources Inves&ga&on of atomic processes in laser produced plasmas for the short wavelength light sources Akira Sasaki Quantum Beam Science Directorate Japan Atomic Energy Agency Introduc&on EUV source at λ=6.5nm

More information

Electron temperature is the temperature that describes, through Maxwell's law, the kinetic energy distribution of the free electrons.

Electron temperature is the temperature that describes, through Maxwell's law, the kinetic energy distribution of the free electrons. 10.3.1.1 Excitation and radiation of spectra 10.3.1.1.1 Plasmas A plasma of the type occurring in spectrochemical radiation sources may be described as a gas which is at least partly ionized and contains

More information

Energetic neutral and negative ion beams accelerated from spray target irradiated with ultra-short, intense laser pulses

Energetic neutral and negative ion beams accelerated from spray target irradiated with ultra-short, intense laser pulses Energetic neutral and negative ion beams accelerated from spray target irradiated with ultra-short, intense laser pulses Sargis Ter-Avetisyan ELI - Extreme Light Infrastructure Science and Technology with

More information

EUV ablation. C. Liberatore1,2, A. Bartnik5, K. Mann4, M. Müller4, L. Pina2, L. Juha3, J. J. Rocca6, A. Endo1, T. Mocek1

EUV ablation. C. Liberatore1,2, A. Bartnik5, K. Mann4, M. Müller4, L. Pina2, L. Juha3, J. J. Rocca6, A. Endo1, T. Mocek1 EUV ablation C. Liberatore1,2, A. Bartnik5, K. Mann4, M. Müller4, L. Pina2, L. Juha3, J. J. Rocca6, A. Endo1, T. Mocek1 1 Hilase Center, Dolni Brezany, Czech Republic 2 Czech Technical University, Prague,

More information

Construction of a 100-TW laser and its applications in EUV laser, wakefield accelerator, and nonlinear optics

Construction of a 100-TW laser and its applications in EUV laser, wakefield accelerator, and nonlinear optics Construction of a 100-TW laser and its applications in EUV laser, wakefield accelerator, and nonlinear optics Jyhpyng Wang ( ) Institute of Atomic and Molecular Sciences Academia Sinica, Taiwan National

More information

EUV-Technology with Discharge EUV-Lamp"

EUV-Technology with Discharge EUV-Lamp EUV-Technology with Discharge EUV-Lamp" Rainer Lebert, Larissa Juschkin, Christian Wies, Bernhard Jägle, Manfred Meisen, Ulrich Bieberle, Willi Neff, Juri Barthel, Konstantin Walter, Klaus Bergmann, Fraunhofer

More information

Development of Cs 2 Te photocathode RF gun system for compact THz SASE-FEL

Development of Cs 2 Te photocathode RF gun system for compact THz SASE-FEL Development of Cs 2 Te photocathode RF gun system for compact THz SASE-FEL R. Kuroda, H. Ogawa, N. Sei, H. Toyokawa, K. Yagi-Watanabe, M. Yasumoto, M. Koike, K. Yamada, T. Yanagida*, T. Nakajyo*, F. Sakai*

More information

The role of PMI in MFE/IFE common research

The role of PMI in MFE/IFE common research The role of PMI in MFE/IFE common research Presented by Doerner for the Team and TITAN 1-1 Participants In 2006, Jupiter II recognized that PMI was a bridge issue between MFE and IFE R&D Both MFE and IFE

More information

BEAM PROPAGATION FOR THE LASER INERTIAL CONFINEMENT FUSION-FISSION ENERGY ENGINE. S. C. Wilks, B. I. Cohen, J. F. Latkowski, and E. A.

BEAM PROPAGATION FOR THE LASER INERTIAL CONFINEMENT FUSION-FISSION ENERGY ENGINE. S. C. Wilks, B. I. Cohen, J. F. Latkowski, and E. A. BEAM PROPAGATION FOR THE LASER INERTIAL CONFINEMENT FUSION-FISSION ENERGY ENGINE S. C. Wilks, B. I. Cohen, J. F. Latkowski, and E. A. Williams Lawrence Livermore National Laboratory L-211, Livermore, CA,

More information

Supplemental material for Bound electron nonlinearity beyond the ionization threshold

Supplemental material for Bound electron nonlinearity beyond the ionization threshold Supplemental material for Bound electron nonlinearity beyond the ionization threshold 1. Experimental setup The laser used in the experiments is a λ=800 nm Ti:Sapphire amplifier producing 42 fs, 10 mj

More information

Exploring Astrophysical Magnetohydrodynamics Using High-power Laser Facilities

Exploring Astrophysical Magnetohydrodynamics Using High-power Laser Facilities Exploring Astrophysical Magnetohydrodynamics Using High-power Laser Facilities Mario Manuel Einstein Fellows Symposium Harvard-Smithsonian Center for Astrophysics October 28 th, 2014 Ø Collimation and

More information

Part XI. Optimising Femtosecond K α Sources

Part XI. Optimising Femtosecond K α Sources Part XI Optimising K α Sources 333 / 353 K α sources Goals: maximize # photons < 100 fs pulse length minimize spot size (magnification) maximize throughput (ave. power) 334 / 353 Applications of femtosecond

More information

Construction and Physics of the Dense Plasma Focus device

Construction and Physics of the Dense Plasma Focus device 2370-3 School and Training Course on Dense Magnetized Plasma as a Source of Ionizing Radiations, their Diagnostics and Applications 8-12 October 2012 Construction and Physics of the Dense Plasma Focus

More information

The Repeller Field debris mitigation approach for EUV sources

The Repeller Field debris mitigation approach for EUV sources The Repeller Field debris mitigation approach for EUV sources K. Takenoshita, C-S. Koay, M. Richardson (Laser Plasma Laboratory, School of Optics-CREOL at University of Central Florida) I.C.E. Turcu (JMAR

More information

High Efficiency Collector for Laser Plasma EUV Source.

High Efficiency Collector for Laser Plasma EUV Source. University of Central Florida UCF Patents Patent High Efficiency Collector for Laser Plasma EUV Source. 7-11-2006 Jonathan Arenberg Northrop Grumman Corporation Find similar works at: http://stars.library.ucf.edu/patents

More information