PHOTOMASK BACUS The international technical group of SPIE dedicated to the advancement of photomask technology.

Size: px
Start display at page:

Download "PHOTOMASK BACUS The international technical group of SPIE dedicated to the advancement of photomask technology."

Transcription

1 PHOTOMASK BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. JULY 2007 VOLUME 23, ISSUE 7 Novel Technique for Critical Dimension Measurements of Phase-shift Masks Using Broadband Transmittance Spectra in Conjunction with RCWA Alexander Gray, University of California at Davis, CA John C. Lam and Stanley Chen, n&k Technology, Inc., Santa Clara, CA ABSTRACT For the first time Rigorous Coupled Wave Analysis (RCWA) has been applied to the analysis of the transmittance spectra for the determination of critical dimension (CD) of phase-shift photomasks. The use of transmittance spectra proved to be instrumental in improving the sensitivity of the measurement to minor (sub-nanometer) changes in the width of the trench. We present a novel unique metrology solution based on the simultaneous measurement of broadband reflectance and transmittance, covering a wavelength range from 190 to 1000 nm, in one nanometer intervals. The analyses of both types of spectra are performed simultaneously, using Forouhi-Bloomer dispersion equations, in conjunctions with RCWA. The method provides accurate and repeatable results for critical dimensions, thickness, and optical properties (n and k spectra from nm) for all materials present in the structure. In the current study, the method described above was used to examine grating structures on ACI (After-Clean Inspection) phase-shift mask. The use of transmittance spectrum proved to be essential for the accurate measurement of the CD, since the transmittance spectrum is more sensitive to the change in line width, compared to the reflectance spectrum. The results were compared with the measurements taken on the same sample using conventional CD-SEM. The CD linearity study demonstrated excellent correlation with CD-SEM. The advantages of the optical reflectance and transmittance method are high throughput, non-destructive nature of the measurements and capability to measure a wider variety of structures pertinent to the photomask manufacturing process. TAKE A LOOK INSIDE: INDUSTRY BRIEFS For new developments in technology see page 8 CALENDAR For a list of meetings see page 9 Continues on page 3. R s R p Figure 1. Typical variation in the polarized reflectance spectra (R s and R p ) due to 40 nm variation in line width of a 600nm - pitch grating.

2 Page 2 Volume 23, Issue 7 N E W S Editorial N E W S Things that make me go Hmmm Warren Montgomery, CNSE When I began my career in this industry It occurred to me, a short time ago, that I am now considered an old timer in our industry. My career started back in the late 70s, at IBM, where I worked on photoresist development using contact printing. Back then, we were happy to have the ability to print 5-10µm features with a bias of 1µm. In those days, batch processing was in vogue, and a single operator would run 3-4 tools simultaneously. Looking back fondly, those were the good old days! BACUS News is published monthly by SPIE for BACUS, the international technical group of SPIE dedicated to the advancement of photomask technology. Circulation Managing Editor/Graphics Linda DeLano Advertising Sue Siegfried BACUS Technical Group Manager Pat Wight Photoresist used to be extendable Photoresists were relatively simple in design; the composition was 2-1-4, or some mixture of diazoquinone sensitizer, a novolak resin and a safe casting solvent with a boiling point of about 140 centigrade. Diazoquinone (DNQ) photoresist formulations were used to meet lithographic ground rule requirements for about 15 years (1979 to about 1994.) As resolution requirements tightened, exposure tool companies changed the exposure wavelength to 248nm, which signaled the end of DNQ resist use for critical layers. Novolak resin simply absorbs too strongly at 248nm. In order to satisfy the needs of Deep UV (DUV), IBM developed and implemented chemically amplified photoresists (CAR) which were used for critical layers, from 1994 to As fate would have it, moving to CAR was facilitated by the move to single wafer processing schemes and the introduction of the photocluster (The semiconductor gods were kind to the industry) BACUS Steering Committee Maskmakers were on holiday 2007 Annual Photomask Chairs Robert (Bob) J. Naber, Cadence Design Systems, Inc. Hiroichi Kawahira, Sony Atsugi Technology Ctr. (Japan) And how were maskmakers keeping pace with developing technologies? (Can you say maskmakers holiday? ) Since the critical dimension on the mask is 4 times (4X) the dimension on the wafer, the mask shop was able to use DNQ chemistry a few years longer than the wafer side of the industry. The transition from DNQ chemistry to DUV from a laser-writer perspective started in 1999 (focused on masks for critical layers, of course.) With that transition, CAR resist-processing issues arose. These issues stacked squarely up against the maskmakers, who, up until this point, had been able to rely on precoated mask blanks for years. The problems manifested themselves as environmentally induced contamination, CD variability while writing, standing waves (due to the use of a BARC targeted for non-car resist and high reflectance off the substrate), and photoresist supply challenges due to low volume consumption by the mask industry. Luckily, maskmakers were able to use some of the techniques developed by the wafer side of the industry, such as carbon filtering and chemical monitoring of the factory environment. What s next? Now I m finding myself asking what the next lithographic technology will be. Will it be imprint lithography, EUV lithography, or is 193nm immersion going to mark the end of the lithography superhighway? Will e-beam lithography finally prove to be an option in spite of the throughput issues? Imprint lithography seems to be making real progress, but still faces major technological challenges. EUV lacks a mature infrastructure; the industry is just now learning to make masks, sources and photoresists that are useful in EUV technology. Double exposure, using 193nm, appears to be the most promising option, but it won t come cheap. Double patterning will put tremendous pressure on the scanner equipment suppliers in the area of overlay. Companies like Applied Materials, Tokyo Electron and others will need to continue to develop cutting-edge technologies like the new AMAT etch processes that have achieved extremely small lithographic features using hardmask processing techniques. There is no shortage of reviews of the materials requirements and development challenges which will be faced if 193nm immersion lithography is to be extended beyond the current projection of ~1.35NA (see last year s SPIE proceedings). In order to continue the drive toward higher NAs, high index immersion fluid and high index optical elements for 193nm scanners must be created. High NA lithography will force the development of new photoresist materials, BARC and top antireflective coatings. Without question, any discussion of advances in optical lithography must include Optical Proximity Correction (OPC.) Just look at the current wave of mergers and acquisitions, specifically ASML s recent acquisition of Brion, which demonstrates the importance of modeling and verification technologies. I d estimate that the semiconductor industry will utilize dry 193nm in the near term, then move to 193nm immersion lithography at ~1.35NA, then perhaps to double exposure lithography at ~1.35NA, and finally to EUV Lithography. This approach will allow the time required to solve the technical challenges facing EUV lithography. Lithography has always been a challenge for the semiconductor industry, but we have always found a technical solution to the issues at hand. My guess is the industry has a couple of technological breakthroughs still left in it; time will tell. President Patrick M. Martin, Photronics, Inc. Vice President Brian J. Grenon, Grenon Consulting Secretary John Whittey, Vistec Semiconductor Systems, Inc. Quarterly Meeting Chair Robert (Bob) Naber, Cadence Design Systems, Inc. International Chair Wilhelm Maurer, Infineon Technologies AG (Germany) Education Chair Wolfgang Staud, Invarium, Inc. Sponsorships Susan Siegfried, SPIE Sponsorship Consultant Members at Large Ki-Ho Baik, Intel Corp. Artur Balasinski, Cypress Semiconductor Corp. Uwe Behringer, UBC Microelectronics (Germany) Ute Buttgereit, Carl Zeiss SMS GmbH (Germany) Chris Constantine, Oerlikon USA Inc. Benjamin G. Eynon, Jr., Sematech Gregory K. Hearn, SCIOPT Enterprises Kurt Kimmel, IBM Microelectronics Div. Paul Leuhrmann, ASML Mark Mason, Texas Instrument Inc. Warren Montgomery, Albany Nanotech John A. Nykaza, Toppan Photomask, Inc. J. Tracy Weed, Synopsys, Inc. Larry S. Zurbrick, KLA-Tencor Corp Society of Photo-Optical Instrumentation Engineers. All rights reserved. P.O. Box 10, Bellingham, WA USA Tel: Fax: SPIE.org customerservice@spie.org

3 Volume 23, Issue 7 Page 3 Continued from cover. Figure 2. Typical variation in the polarized transmittance spectra (T s and T p ) due to 40 nm variation in line width of a 600nm - pitch grating. Figure 3. Typical fit between four measured spectra (R s -exp, R p -exp, T s -exp, T p -exp) and their calculated counterparts (R s -cal, R p -cal, T s -cal, T p -cal). I. Introduction Measurement of the critical dimensions (CD) on phase-shift photomasks has become one of the central problems in modern-day metrology. Vast amounts of resources are being channeled towards the efforts to improve the accuracy, sensitivity and reliability of the existing techniques and development of the new ones. Conventional techniques, such as CD-SEM, AFM and Interferometry are being replaced by faster, non-destructive, non-contact optical techniques. A number of techniques based on optical reflectometry have proven to be successful in CD measurements, however, problems with measurement sensitivity push the metrology companies to explore new hardware solutions and develop new computational analysis algorithms. In this article we present a new metrology method, based on broadband measurements of reflectance and transmittance spectra. For the first time Rigorous Coupled Wave Analysis (RCWA) is applied to the analysis of the transmittance spectra for the determination of critical dimensions of phase-shift photomasks. Section II (Sensitivity and Resolution) underlines the necessity of the new technique. In Section III (RCWA Method for Transmittance Spectra) the new calculation algorithm is described. Sections IV and V outline the methodology and the measurement results. II. Sensitivity and resolution As the product specifications become tighter, the question of measurement resolution and sensitivity becomes more and more critical. The conventional reflectance-based scatterometry is often limited by the fact that a small variation in line width does not correspond to a measurable change in the spectral reflectance. As a result of this, it is sometimes impossible to resolve the difference between acceptable and defective products. Tools that use monochromatic sources are particularly limited in that sense, since for certain pitches and materials a small variation in line width might have virtually no effect on the reflectivity measured at 193, 248, 365 or 633 nanometers. The following figure illustrates a typical variation in the polarized reflectance spectra (R s and R p ) due to 40 nm variation in line width of Continues on page 4.

4 Page 4 Volume 23, Issue 7 Continued from page 3. Figure 4. Typical ACI trench structure. Figure 5. Typical ADI trench profile obtained using the technique described above. a 600 nm - pitch grating. Twenty Rs spectra and twenty Rp spectra are plotted - each one corresponding to a 2 nm increase in line width (from 280 nm to 320 nm). The maximum sensitivity to the change in width is observed at ~440 nm wavelength. At 193, 248, 365 and 633 nm wavelengths the variations would be simply impossible to resolve. Even at the optimal wavelength of ~440 nm a repeatable measurement would be difficult to accomplish due to low reflectivity and possible noise problems. Overlooking this issue may cause significant reduction in yield. Understanding this issue will lead to a search for an alternate metrology solution. In this case, instruments with the widest measurement wavelength range, variable measurement angle and variable polarization of sampling radiation will have the advantage over other tools. In other words, the most versatile instrument will occupy the top place in the list of possible metrology solutions. The use of transmittance spectra proved to be instrumental in improving the sensitivity of the measurement to minor (sub-nanometer) changes in the width of the trench. The study demonstrated that transmittance spectrum is more sensitive to the variation in line width, compared to the reflectance spectrum. The following figure illustrates a typical variation in the polarized transmittance spectra (T s and T p ) due to 40 nm variation in line width of a 600 nm - pitch grating. Twenty T s spectra and twenty T p spectra are plotted - each one corresponding to a 2 nm increase in line width (from 280 nm to 320 nm). Right away, the contrast with the previous graph is obvious. The variation in the spectral intensity is very high, compared to the variations in the reflectance spectra. Virtually any wavelength between 190 and 500 nm can be used to resolve the difference between the trenches of various line widths. The wavelengths of 193, 248, 440 and 465 nm are particularly sensitive to the variation and therefore are particularly useful. The discovery of this type of sensitivity opens new portals in CD metrology. The improved resolution makes it possible to detect Angstrom-level variations in trench width. This technique, however, would be impossible to use without a robust physically-valid analysis model, which would interpret the shape of the spectrum and quantify the grating geometry. The model of choice for the characterization of the optical properties of the materials present in the grating structure are the Forouhi-Bloomer dispersion relations, which provide a physically valid solution for the index of refraction and extinction coefficient spectra of thin films in the interband region. The most appropriate technique for the analysis of the grating geometry (trench depth, line width, sidewall angle, etc.) is the Rigorous Coupled Wave Analysis technique, introduced by Moharam 1 in Until now, however, this technique has never been applied to analyze measured transmittance spectra. The next section gives an overview of the RCWA method for the analysis of the transmittance spectra. III. RCWA method for transmittance spectra In RCWA method, as shown in Moharam s paper, for different polarization state of incident beam, Maxwell s equations in the grating region can be transferred as finding the eigenvalue of the matrix: Where And E is the matrix formed by the permittivity harmonic components (ε i ), with the i, j elements equals to ε i-j ; K x is a diagonal matrix with the elements equal to k xi /k 0. k xi is the wave vector of i-th diffraction order in x direction, and k 0 is the wave number in free space. For a grating with a thickness of d (in z-direction), electromagnetic field in the grating region can be written in Fourier expression as Where w im and q m are the elements of eigenvector matrix W and the positive sqare root of the eigenvalues of matrix A; V = WQ is the matrix with elements v im ; Q is the diagonal matrix with the elements q m. N is the truncation number of the diffraction orders. The boundary condition in the surface (z=0) and bottom of the grating (z=d) lead to Where R, T are vectors of reflectance and transmittance; C +, C - are vectors with the elements C +, m C- separately; X is a diagonal matrix m with the elements exp(-k 0 q m d); K I, K II are diagonal matrices with the elements k I,z i /k 0 (for s, or k I,z i /(k 0 n 2) for p-polarization), and k /k (for I II,zi 0 s, or k II,zi /(k 0 n 2) for p-polarization separately; I is the identity matrix; n, II I n II are the refractive index of the incident and substrate media; and For l=i, II separately. Let Where (1) (2) (3) (4) (5) (6) (7) (8) (9) (10)

5 Volume 23, Issue 7 Page 5 Figure 6. Schematic top view of the measured trench structures. Each square pad is a 2mm x 2mm grating array. Then the transmittance can be found by eq. (5), (6) as For the multi-layer problem, consider the approach of partial solution (in J. Opt. Soc. Ame. A/Vol. 12, No.5, 1995, p ), let W 0 = I, V 0 = jδi 0 f(θ), f 0 = I, g 0 = jk I, C - = R, b = δ, X = I; Where 0 0 i0 0 And keep the relation of eq.(8)~(11) for each layer, the transmittance can be found as Where L is the number of layers, in the l-th layer (l = 1,..., L), With the eq.(14), (15), reflectance for all the multi-layer diffraction grating with different polarization states can be found with a good data stability and converging rate. IV. Measurement method For the current study we used a spectrophotometer-based instrument, capable of collecting four continuous spectra during one measurment two polarized reflectance spectra (R s and R p ) and two polarized transmittance spectra (T s and T p ). The light source of the spectrophotometer was equipped with a rotating polarizer, facilitating TE and TM polarization of the measurement beam. The measurement wavelength range was from 190 nm to 1000 nm, with in one nanometer intervals. In general, the described method can be used to analyze any combination of polarized and unpolarized reflectance and transmittance spectra. In fact, before this study, the measurement of polarized transmittance spectra were not particularly instrumental in the characterization of small-pitch gratings, since the RCWA technique for the analysis of the measured data was not yet developed. Therefore, in the past, only reflectance spectra were used for the determination on the critical dimensions in small-pitch gratings, and the transmittance spectra were used strictly for the characterization of the optical properties of the materials used in the film structure. Addition of a transmittance spectrophotometer was originally intended to facilitate extra raw data, which helps to constrain the calculation model and provide more stable and accurate results. It turned out, however, that transmittance spectra do not only carry additional information about the structure, but are in general more useful in providing highresolution, high-sensitivity CD measurement, compared to the reflectance spectra. (11) (12) (13) (14) (15) After the raw data is collected, all four spectra are simultaneously analysed using the Forouhi-Bloomer dispersion relations, in conjunction with RCWA, to extract the values of n and k, film thickness, and trench dimensions. The analysis model generates calculated reflectance and transmittance spectra using the nominal parameters, and then optimizes the values, using nonlinear regression analysis in order to obtain the best match between the measured and calculated spectra. Each parameter in the model can be either varied or fixed at a known value. The following figure depicts a typical fit between four measured spectra and four calculated spectra, generated by the analysis software. Generally, it is not practical to vary the optical properties of the films present in the grating structure. In most cases n and k of the films can be obtained by collecting the measurements on the blanket areas of the sample. The determined optical properties then can be used in the model for the trench structure, reducing the number of variables in the model. Typically, in the case of the chromium, photoresist, and the phase shift materials (MoSi), the n and k spectra are premeasured from the uniform area and then fixed during the CD measurement. V. Application to the measurement of ACI photomasks In the current study, the method described above was used to measure the CD linearity on an ACI (After Cleaning Inspection) photomask. A typical ACI structure consists of a single patterned MoSi layer deposited on a fused silica substrate (see the figure below). During the etching process, the substrate is usually slightly overetched into (~30A). The parameter of interest at this stage of the process is the width of MoSi lines in the trench pattern. However, in the process of measurement, other parameters, such as n and k of the substrate and the MoSi layer, and trench depth are also determined. Furthermore, if desired, the method can be used to determine a detailed profile of the periodic grating structure. The following figure illustrates an example of such analysis applied to the characterization of the profile of the photoresist lines on chrome (ADI structure). The cross section of the same grating structure obtained using SEM is displayed on the right. For this particular study, an array of twenty-one grating structures was measured on an ACI photomask. The pitch of the grating structure was 600 nm. The nominal line widths varied from 280 nm to 320 nm with 2-nanometer interval. The following figure depicts the schematic top view of the measured grating arrays. The same structures were re-measured using a conventional CD- SEM. The following plot illustrates the correlation between the results obtained using the two techniques. It is evident that the results obtained using the new transmittance RCWA technique exhibit excellent correlation with a conventional CD-SEM. The major advantage of the optical technique, however, Continues on page 6.

6 Page 6 Volume 23, Issue 7 Continued from page 5. Figure 7. Correlation of the line width values obtained using the optical technique and the CD-SEM. Figure 8. Improvement in the repeatability of the CD measurement due to the use of transmittance spectra. is high throughput (several seconds per point) and versatility. Along with the line width, other parameters such as trench depth, optical properties of the MoSi and phase shift at 193 nm and 248 nm were obtained during the same measurement. Furthermore, due to the fact that the intensity of the transmitted measurement beam is about fives time higher than the intensity of the reflected measurement beam, the improved signal-to-noise ratio guarantees a much better repeatability of the results. The following table illustrates a typical improvement in the short-term load-unload repeatability of the ASI and binary Cron- Quartz masks. Evidently, the repeatability of the measured CD values improves due to the inclusion of the higher-intensity transmittance spectra in the analysis. VI. Summary For the first time Rigorous Coupled Wave Analysis (RCWA) has been applied to the analysis of the transmittance spectra for the determination of critical dimension (CD) of phase-shift photomasks. A spectrophotometer-based instrument (n&k R-T Scatterometer), capable of collecting four continuous spectra during one measurement - two polarized reflectance spectra (R s and R p ) and two polarized transmittance spectra (T s and T p ) was used for the measurement of the 600 nm - pitch gratings on an ACI mask. The light source of the spectrophotometer was equipped with a rotating polarizer, facilitating TE and TM polarization of the measurement beam. The measurement wavelength range was from 190 nm to 1000 nm, in one nanometer intervals. The use of transmittance spectra proved to be instrumental in improving the sensitivity of the measurement to minor (sub-nanometer) changes in the width of the trench. The linearity study using a new technique demonstrated an excellent correlation with a conventional CD-SEM measurements and an improved repeatability, compared to the traditional reflectance-only measurement. The advantages of the optical method are high throughput, non-destructive nature of the measurements and capability to measure a wider variety of structures pertinent to the photomask manufacturing process. VII. Acknowledgements We would like to thank Dr. Yo-Han Choi and Dr. Kyoung-Yoon Bang of Samsung Electronics, Korea, for providing the samples and CD-SEM results for the study. We would also like to thank Dr. Rahim Forouhi and Dr. George Li of n&k Technology for supervising the measurements and the analysis of the samples.

7 Volume 23, Issue 7 Page 7 N E W S

8 Page 8 Volume 23, Issue 7 Sponsorship Opportunities Sign up now for the best Photomask 2007 sponsorship opportunities. Contact: Sue Siegfried Tel: ssiegfried@spie.org Advertise in the BACUS News! The BACUS Newsletter is the premier publication serving the photomask industry. For information on how to advertise, contact: Sue Siegfried Tel: ssiegfried@spie.org BACUS Technical Meetings BACUS holds technical meetings in the Bay Area approximately every quarter, from 8:30 to 11:30 am. If you are interested in presenting a paper at this meeting, contact Robert (Bob) Naber, Cadence Design Systems, Inc., Tel: ; naber@cadence.com Industry Briefs Rohm and Haas Electronic Materials invests US$60 million in immersion litho tool set By Future Fab To remain competitive in the fast-paced world of lithography materials technology, Rohm and Haas Electronic Materials has said that it will invest US$60 million in leading-edge lithography equipment including ASML s latest immersion lithography tool, the TWINSCAN XT: 1900Gi, to support photoresist and antireflective coatings development. As a leading material supplier to the semiconductor industry, our ability to deliver advanced lithography materials is critical, especially as the industry moves down below the 45nm node, said Dr. Dominic Yang, business unit Director, Microelectronic Technologies. This investment will not only enable deep technical partnerships with the front runners in the Memory, Foundry and Logic segments, but will bring to these customers high quality products manufactured and tested using the best tools in the industry. The equipment investment also includes a 300mm coat/develop track system that is connected to the immersion tool as well as defect inspection and metrology tools, though the company did not state the suppliers of these systems. New development partnership focuses on 10nm e-beam capabilities By Future Fab A trilateral RD&E program between GenISys, JEOL and Cornell University s Nanoscale Science and Technology Facility has been working on improving direct write e-beam data preparation and electron process correction (nano-epc) technologies for 10nm-range structures. Findings of the Cornell-JEOL collaboration have enabled upgrades of the GenISys software Layout BEAMER, which now includes an algorithm that corrects printing artifacts of this discrete writing grid. They expect in the future to account for additional machine and process effects. The users of e-beam direct write need urgent solutions for advanced data preparation and correction for nanostructure applications. The strong cooperation of the equipment vendor, the user and the software vendor is key for these developments. We are very fortunate to be collaborating with JEOL and Cornell Nanoscale Science and Technology Facility, said Ulrich Hofmann, founder and general manager of GenISys. These organizations are pioneering the state of the art in nano-fabrication and provide the ideal forum for further development and extension of Layout BEAMER. The high flexibility, responsiveness and unique combination of software and e-beam application knowledge will enable GenISys to deliver the solutions the market is waiting for. 10nm small structures are fabricated with only a few pulses of the electron beam writer, creating a need for better uniformity, consistency and placement, according to the team. BACUS Corporate Members Inko Industrials Corporation To receive an announcements of these meetings, send an message to patw@spie.org; in the body of the message include the words subscribe info-bacus. STMicroelectronics to use Clear Shape for 65nm DFM requirements By Future Fab After a year-long evaluation period, STMicroelectronics has selected Clear Shape Technologies InShape and OutPerform DFM products for 65nm and below device tapouts. Our requirements for DFM solutions are extremely stringent, since we design high-performance, high-volume products for many applications such as mobile communications, computer peripherals and consumer electronics, said Philippe Magarshack, Front-End Technologies and Manufacturing Group Vice President and General Manager of Central CAD & Design Automation at STMicroelectronics. Clear Shape demonstrated excellent silicon-accurate results and performance. Their solutions will enable our IP and library developers to eliminate systematic variability from their designs thanks to silicon accurate lithography and OPC modeling, he added. Clear Shape claimed that its InShape product completed full-chip analysis in hours and that electrical characterization had a high correlation to actual silicon results via its OutPerform tool.

9 Join the premier professional organization Volume 23, Issue 7 Page 9 for mask makers and mask users! About the BACUS Group Founded in 1980 by a group of chrome blank users wanting a single voice to interact with suppliers, BACUS has grown to become the largest and most widely known forum for the exchange of technical information of interest to photomask and reticle makers. BACUS joined SPIE in January of 1991 to expand the exchange of information with mask makers around the world. The group sponsors an informative monthly meeting and newsletter, BACUS News. The BACUS annual Photomask Technology Symposium covers photomask technology, photomask processes, lithography, materials and resists, phase shift masks, inspection and repair, metrology, and quality and manufacturing management. Individual Membership Benefits include: Subscription to BACUS News (monthly) Subscription to Microlithography World (quarterly) Quarterly technical meetings in the Bay Area Reduced registration rates at BACUS Photomask Technology annual meeting Eligibility to hold office on BACUS Steering Committee spie.org/bacus-individual.xml Corporate Membership Benefits include: One Voting Member in the SPIE General Membership Subscription to BACUS News (monthly) One online SPIE Journal Subscription Exhibit Space discount of 8% at either the Photomask or Advanced Lithography Symposium Listed as a Corporate Member in the BACUS Monthly Newsletter spie.org/bacus-corporate.xml C a l e n d a r 2007 SPIE/BACUS Photomask Technology September Monterey Marriott & Monterey Conference Ctr. Monterey, California USA spie.org/pm 2008 The 24th European European Mask and Lithography Conference (EMLC 2008) January Hilton Hotel Dresden, Germany SPIE Advanced Lithography February San Jose McEnry Convention Center San Jose, California USA spie.org/al Photomask Japan April Hotel Pacifico Yokohama Yokohamna, Japan SPIE is an international society advancing an interdiciplinary approach to the science and application of light. International Headquarters P.O. Box 10, Bellingham, WA USA Tel: or Fax: customerservice@spie.org SPIE.org Shipping Address th St., Bellingham, WA USA 2 Alexandra Gate, Ffordd Pengam, Cardiff, CF24 2SA, UK Tel: Fax: spieeurope@spieeurope.org You are invited to submit events of interest for this calendar. Please send to lindad@spie.org; alternatively, or fax to SPIE.

10 Page 10 Volume 23, Issue 7 Left image: Courtesy of International Business Machines Corporation. Center image: Courtesy of AMTC. Innovation at Work Photomask is where equipment suppliers, lithographers, material manufacturers, researchers, and mask fabricators share their research, network, and exchange the latest information. Attend Photomask and join this integrated effort to look at factors relevant to design-to-wafer realization. Network with those in key areas impacting reticle technology. Register early and save! September 2007 Monterey Marriott and Monterey Conference Center Monterey, California, USA Sponsored by SPIE.org/photomask

Novel Technique for Critical Dimension Measurements of Phase-shift Masks Using Broadband Transmittance Spectra in Conjunction with RCWA

Novel Technique for Critical Dimension Measurements of Phase-shift Masks Using Broadband Transmittance Spectra in Conjunction with RCWA Novel Technique for Critical Dimension Measurements of Phase-shift Mass Using Broadband Transmittance Spectra in Conjunction with RCWA Alexander Gray University of California at Davis, CA John C. Lam and

More information

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process John C. Lam, Alexander Gray n&k Technology, Inc., Santa Clara, CA ABSTRACT Critical dimension (CD) metrology

More information

Fast Non-destructive Optical Measurements of Critical Dimension Uniformity and Linearity on AEI and ASI Phase-shift Masks

Fast Non-destructive Optical Measurements of Critical Dimension Uniformity and Linearity on AEI and ASI Phase-shift Masks Fast Non-destructive Optical Measurements of Critical Dimension Uniformity and Linearity on AI and ASI Phase-shift Masks Alexander Gray University of California at Davis, CA John C. Lam n&k Technology,

More information

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 2th Annual BACUS Symposium on Photomask Technology, SPIE Vol. 67- and is made available

More information

Critical Dimension Uniformity using Reticle Inspection Tool

Critical Dimension Uniformity using Reticle Inspection Tool Critical Dimension Uniformity using Reticle Inspection Tool b Mark Wylie, b Trent Hutchinson, b Gang Pan, b Thomas Vavul, b John Miller, b Aditya Dayal, b Carl Hess a Mike Green, a Shad Hedges, a Dan Chalom,

More information

EUVL Readiness for High Volume Manufacturing

EUVL Readiness for High Volume Manufacturing EUVL Readiness for High Volume Manufacturing Britt Turkot Intel Corporation Outline Exposure Tool Progress Power Availability Intel demo results Reticle Defectivity Pellicle Materials Conclusion 2 Source

More information

EUV Lithography Towards Industrialization

EUV Lithography Towards Industrialization EUV Lithography Towards Industrialization Wim van der Zande, Director of Research, ASML Dublin Meeting November 2014 Slide 2 Agenda EUV benefit and status at customers Towards higher productivity Summary

More information

Real time analysis of the Haze environment trapped between the pellicle film and the mask surface

Real time analysis of the Haze environment trapped between the pellicle film and the mask surface Volume 19, Issue 5 Page 1 PHOTOMASK BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. SEPTEMBER 2006 VOLUME 22, ISSUE 9 Real time analysis of the Haze

More information

Sensors and Metrology. Outline

Sensors and Metrology. Outline Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

PHOTOMASK. New type of haze formation on masks fabricated with Mo-Si blanks N E W S. SPIE Photomask spie.org/pm TAKE A LOOK INSIDE:

PHOTOMASK. New type of haze formation on masks fabricated with Mo-Si blanks N E W S. SPIE Photomask spie.org/pm TAKE A LOOK INSIDE: PHOTOMASK BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. JULY 2010 VOLUME 26, ISSUE 7 New type of haze formation on masks fabricated with Mo-Si blanks

More information

Chromeless Phase Lithography (CPL)

Chromeless Phase Lithography (CPL) Chromeless Phase Lithography (CPL) Chromeless Phase Lithography or CPL is a recent development in the area of phase shifting technology that is extending the perceived k 1 limits and has the potential

More information

Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology.

Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. May 2011 Volume 27, Issue 5 Best Paper of EMLC2011-7985-31 Evidence of printing blank-related

More information

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node Darren Taylor Photronics, Allen TX 75013 Anthony Vacca, Larry Zurbrick KLA Tencor, 160 Rio Robles, San

More information

Cost of Ownership Considerations for Maskless Lithography

Cost of Ownership Considerations for Maskless Lithography Accelerating the next technology revolution Cost of Ownership Considerations for Maskless Lithography Lloyd C. Litt, SEMATECH Andrea F. Wüest, SEMATECH Copyright 2008 SEMATECH, Inc. SEMATECH, and the SEMATECH

More information

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device Hyung-Seop Kim, Yong Min Cho, Byoung-Ho Lee Semiconductor R&D Center, Device Solution Business, Samsung

More information

High Optical Density Photomasks For Large Exposure Applications

High Optical Density Photomasks For Large Exposure Applications High Optical Density Photomasks For Large Exposure Applications Dan Schurz, Warren W. Flack, Makoto Nakamura Ultratech Stepper, Inc. San Jose, CA 95134 Microlithography applications such as advanced packaging,

More information

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by. PROCEEDINGS OF SPIE Alternative Lithographic Technologies VII Douglas J. Resnick Christopher Bencher Editors 23-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by DNS

More information

Lithography. The Crystal Growth and Reticle Degradation Exposé. Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation

Lithography. The Crystal Growth and Reticle Degradation Exposé. Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation Lithography R E T I C L E The Crystal Growth and Reticle Degradation Exposé Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation Brian J. Grenon, Grenon Consulting, Incorporated

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Today: 1. Introduction E. Bernhard Kley Institute of Applied Physics Friedrich-Schiller

More information

Actinic review of EUV masks: First results from the AIMS EUV system integration

Actinic review of EUV masks: First results from the AIMS EUV system integration Invited Paper Actinic review of EUV masks: First results from the AIMS EUV system integration Markus R. Weiss* a, Dirk Hellweg a, Jan Hendrik Peters b, Sascha Perlitz b, Anthony Garetto b, Michael Goldstein

More information

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial Marks for EUV mask blanks Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial marks are laser scribed on 200 mm wafers to enable defect registration on metrology

More information

Development status of back-end process for UV-NIL template fabrication

Development status of back-end process for UV-NIL template fabrication Development status of back-end process for UV-NIL template fabrication Yuichi Inazuki, Kimio Itoh, Sho Hatakeyama, Kouichirou Kojima, Masaaki Kurihara, Yasutaka Morikawa, Hiroshi Mohri and Naoya Hayashi

More information

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y T u t o r (Summer 1994) Swing Curves Chris A. Mack, FINLE Technologies, Austin, Texas In the last edition of this column, we saw that exposing a photoresist involves the propagation

More information

Lecture 14 Advanced Photolithography

Lecture 14 Advanced Photolithography Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes

More information

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography 1 Reference 1. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (2001) 2. - (2004) 3. Semiconductor Physics and Devices-

More information

Cost Implications of EUV Lithography Technology Decisions

Cost Implications of EUV Lithography Technology Decisions Accelerating the next technology revolution Cost Implications of EUV Lithography Technology Decisions Andrea F. Wüest, SEMATECH Andrew J. Hazelton, Nikon Corporation Greg Hughes, SEMATECH Lloyd C. Litt,

More information

Actual Measurement Data Obtained On New 65nm Generation Mask Metrology Tool Set

Actual Measurement Data Obtained On New 65nm Generation Mask Metrology Tool Set Actual Measurement Data Obtained On New 65nm Generation Mask Metrology Tool Set Jochen Bender, Michael Ferber, Klaus-Dieter Röth, Gerhard Schlüter, Walter Steinberg, Leica Microsystems Semiconductor GmbH,

More information

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press Errata, by Chris Mack, chris@lithoguru.com While teaching out of this book at the University

More information

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing Britt Turkot Intel Corporation Outline Milestone Progress Exposure Tool Reticle Pellicle Infrastructure HVM Considerations

More information

ORION NanoFab: An Overview of Applications. White Paper

ORION NanoFab: An Overview of Applications. White Paper ORION NanoFab: An Overview of Applications White Paper ORION NanoFab: An Overview of Applications Author: Dr. Bipin Singh Carl Zeiss NTS, LLC, USA Date: September 2012 Introduction With the advancement

More information

Characterization of Optical Proximity Correction Features

Characterization of Optical Proximity Correction Features Characterization of Optical Proximity Correction Features John Allgair, Michelle Ivy, Kevin Lucas, John Sturtevant Motorola APRDL, Austin, TX 7871 Richard Elliott, Chris A. Mack, Craig MacNaughton, John

More information

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond Christof Krautschik Technology & Manufacturing Group External Programs Intel, Santa Clara, CA October

More information

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Senichi Nishibe a, Thaddeus Dziura a, Venkat Nagaswami a, Roel Gronheid b a KLA-Tencor Corporation, 1 Technology Drive, Milpitas

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Impact of Pellicle on Overlay in Double Patterning Lithography

Impact of Pellicle on Overlay in Double Patterning Lithography Impact of Pellicle on Overlay in Double Patterning Lithography Oliver Loeffler 1, Frank Laske 2, Michael Ferber 2, Klaus-Dieter Roeth 2, Lin Chua 3, You Seung Jin 3, Gino Marcuccilli 3, Venkat Nagaswami

More information

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance 1 Seminar Spacer Lithography for Reduced Variability in MOSFET Performance Prof. Tsu-Jae King Liu Electrical Engineering & Computer Sciences Dept. University of California at Berkeley Graduate Student:

More information

SEMATECH Knowledge Series 2010

SEMATECH Knowledge Series 2010 SEMATECH Knowledge Series 2010 Summary of the SEMATECH Workshop on Directed Self Assembly Lloyd Litt SEMATECH/GF Bill Hinsberg - IBM 20 October 2010 Kobe, Japan Copyright 2010 Advanced Materials Research

More information

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 21 st Annual BACUS Symposium on Photomask Technology SPIE Vol. 4562, pp. 486-495.

More information

Registration Measurement Capability of VISTEC LMS IPRO4 with Focus on Small Features

Registration Measurement Capability of VISTEC LMS IPRO4 with Focus on Small Features Registration Measurement Capability of VISTEC LMS IPRO4 with Focus on Small Features Christian Enkrich a, Gunter Antesberger a, Oliver Loeffler a, Klaus-Dieter Roeth b,franklaske b, Karl-Heinrich Schmidt

More information

High Precision Dimensional Metrology of Periodic Nanostructures using Laser Scatterometry

High Precision Dimensional Metrology of Periodic Nanostructures using Laser Scatterometry High Precision Dimensional Metrology of Periodic Nanostructures using Laser Scatterometry B. Bodermann, S. Bonifer, E. Buhr, A. Diener, M. Wurm, Physikalisch-Technische Bundesanstalt, Braunschweig, Germany

More information

E152 Standard Revision: EUV-pod Reticle Carrier

E152 Standard Revision: EUV-pod Reticle Carrier E152 Standard Revision: EUV-pod Reticle Carrier February 27, 2011, San Jose EUV Reticle Handling TF Co-chairs/Key Contributors: Long He (Intel), David Halbmaier (Entegris), John Lystad (Entegris), John

More information

Lithography Issues in Nano Chip Design and Manufacture

Lithography Issues in Nano Chip Design and Manufacture Lithography Issues in Nano Chip Design and Manufacture Xuan Zeng, Jintao Xue and Wei Cai ASIC & System State Key Lab., Microelectronics Dept., Fudan Univerisity Jan. 7, 2007 Jan. 7, 2007 Challenges and

More information

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM U.S. -KOREA Forums on Nanotechnology 1 CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM February 17 th 2005 Eung-Sug Lee,Jun-Ho Jeong Korea Institute of Machinery & Materials U.S. -KOREA Forums

More information

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML Double patterning for 32nm and below: an update Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML Mireille Maenhoudt, Shaunee Cheng, Tom Vandeweyer IMEC / Slide 1

More information

Across-wafer CD Uniformity Enhancement through Control of Multi-zone PEB Profiles

Across-wafer CD Uniformity Enhancement through Control of Multi-zone PEB Profiles Across-wafer CD Uniformity Enhancement through Control of Multi-zone PEB Profiles Qiaolin Zhang *a, Paul Friedberg b, Cherry Tang c Bhanwar Singh c, Kameshwar Poolla a, Costas J. Spanos b a Dept of Mechanical

More information

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT Photolithography Evolution 1 : Evolution 2 Photomasks Substrates: Type : thermal expansion Chrome Pellicles Mask: OPC and PSM Fabrication: E-Beam or Laser 3 Photomask Information Websites: http://www.photronics.com/internet/corpcomm/publications/basics101/basics.

More information

Demonstration of Near-Infrared Negative-Index Materials

Demonstration of Near-Infrared Negative-Index Materials Demonstration of Near-Infrared Negative-Index Materials Shuang Zhang 1, Wenjun Fan 1, N. C. Panoiu 2, K. J. Malloy 1, R. M. Osgood 2 and S. R. J. Brueck 2 1. Center for High Technology Materials and Department

More information

We published the text from the next page.

We published the text from the next page. Title:Shedding light on EUV mask inspection Authors:Kazunori Seki, Karen Badger, Emily Gallagher, Toshio Konishi, Gregory McIntyre Publisher:Photomask Japan 2012(SPIE) Citation:Kazunori Seki, Karen Badger,

More information

Mask Characterization for Double Patterning Lithography

Mask Characterization for Double Patterning Lithography Mask Characterization for Double Patterning Lithography Karsten Bubke 1, Eric Cotte 1, Jan Hendrik Peters 1, Robert de Kruif, Mircea Dusa 3, Joerg Fochler 4, Brid Connolly 4 1 Advanced Mask Technology

More information

Achieving Low Wavefront Specifications for DUV Lithography; Impact of Residual Stress in HPFS Fused Silica

Achieving Low Wavefront Specifications for DUV Lithography; Impact of Residual Stress in HPFS Fused Silica Achieving Low Wavefront Specifications for DUV Lithography; Impact of Residual Stress in HPFS Fused Silica Julie L. Ladison a, Joseph F. Ellison a, Douglas C. Allan b, David R. Fladd c, Andrew W. Fanning

More information

Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process

Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process Mandar Bhave, Kevin Edwards, Carlton Washburn Brewer Science, Inc., 2401 Brewer Dr., Rolla, MO 65401,

More information

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Uzodinma Okoroanyanwu, 1 Aiqin Jiang, 2 Kornelia Dittmar, 3 Torsten Fahr, 3 Thomas Laursen, 2 Obert Wood, 1 Kevin Cummings, 2 Christian

More information

Single Pass Die to Database Tritone Reticle Inspection Capability

Single Pass Die to Database Tritone Reticle Inspection Capability Single Pass Die to Database Tritone Reticle Inspection Capability Bryan Reese, KLA-Tencor Corporation, Austin, Texas, USA Jan Heumann, AMTC, Dresden, Germany Norbert Schmidt, KLA-Tencor Corporation, Dresden,

More information

Introduction. Photoresist : Type: Structure:

Introduction. Photoresist : Type: Structure: Photoresist SEM images of the morphologies of meso structures and nanopatterns on (a) a positively nanopatterned silicon mold, and (b) a negatively nanopatterned silicon mold. Introduction Photoresist

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

Competitive Semiconductor Manufacturing

Competitive Semiconductor Manufacturing Competitive Semiconductor Manufacturing Prof. Robert C. Leachman Director, Competitive Semiconductor Manufacturing Program University of California at Berkeley Jan 16, 2007 Jan. 16, 2007 RCL - CSM Findings

More information

Introduction to Fourier Transform Infrared Spectroscopy

Introduction to Fourier Transform Infrared Spectroscopy Introduction to Fourier Transform Infrared Spectroscopy Introduction What is FTIR? FTIR stands for Fourier transform infrared, the preferred method of infrared spectroscopy. In infrared spectroscopy, IR

More information

IEUVI Mask Technical Working Group

IEUVI Mask Technical Working Group IEUVI Mask Technical Working Group Proposed changes P37/P38/P40 SEMI standards Thursday, Feb 28, 2008 / Slide 1 Introduction All of the recommendations are driven by the

More information

Photomask. Mask effects for high-na EUV: impact of NA, chief-ray-angle, and reduction ratio N E W S. Take A Look Inside: Industry Briefs see page 12

Photomask. Mask effects for high-na EUV: impact of NA, chief-ray-angle, and reduction ratio N E W S. Take A Look Inside: Industry Briefs see page 12 Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. May 2013 Volume 29, Issue 5 Invited Paper - AL13 Mask effects for high-na EUV: impact of

More information

Sensors and Metrology

Sensors and Metrology Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices 1 UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices Katsuya Watanabe

More information

Hyper-NA imaging of 45nm node random CH layouts using inverse lithography

Hyper-NA imaging of 45nm node random CH layouts using inverse lithography Hyper-NA imaging of 45nm node random CH layouts using inverse lithography E. Hendrickx* a, A. Tritchkov b, K. Sakajiri b, Y. Granik b, M. Kempsell c, G. Vandenberghe a a IMEC, Kapeldreef 75, B-3001, Leuven,

More information

A Reticle Correction Technique to Minimize Lens Distortion Effects

A Reticle Correction Technique to Minimize Lens Distortion Effects A Reticle Correction Technique to Minimize Lens Distortion Effects Warren W. Flack, Gary E. Flores, Alan Walther and Manny Ferreira Ultratech Stepper, Inc. San Jose, CA 95134 Mix-and-match lithography

More information

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES Entegris Edit -SEMI Draft Document (10-11-2006 Revision) NEW STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE 6 INCH EUV RETICLES This specification draft

More information

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 ASML NXE Pellicle progress update Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 Contents Slide 2 Introduction: a look back at 2015 NXE Pellicle update Pellicle film development NXE Scanner

More information

Mask induced polarization effects at high NA

Mask induced polarization effects at high NA Mask induced polarization effects at high NA Andrew Estroff, Yongfa Fan, Anatoly Bourov, Bruce Smith Rochester Institute of Technology, Microelectronic Engineering, Rochester, NY 14623 Philippe Foubert,

More information

Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation

Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation Masaya Kato a, Hideki Inuzuka a, Takeshi Kosuge a, Shingo Yoshikawa a, Koichi Kanno a, Hidemichi

More information

Thermal Expansion property and Surface Finish capability of CLEARCERAM -Z series for EUVL Photomask Substrate Application

Thermal Expansion property and Surface Finish capability of CLEARCERAM -Z series for EUVL Photomask Substrate Application Thermal Expansion property and Surface Finish capability of CLEARCERAM -Z series for EUVL Photomask Substrate Application Kousuke Nakajima, Toshihide Nakajima, Yoshiyuki Owari OHARA Incorporated 3 rd International

More information

Introduction to Fourier Transform Infrared Spectroscopy

Introduction to Fourier Transform Infrared Spectroscopy molecular spectroscopy Introduction to Fourier Transform Infrared Spectroscopy Part of Thermo Fisher Scientific Introduction What is FT-IR? FT-IR stands for Fourier Transform InfraRed, the preferred method

More information

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning SEMI Texas Spring Forum May 21, 2013 Austin, Texas Author / Company / Division / Rev. / Date A smartphone today has

More information

Lecture 8. Photoresists and Non-optical Lithography

Lecture 8. Photoresists and Non-optical Lithography Lecture 8 Photoresists and Non-optical Lithography Reading: Chapters 8 and 9 and notes derived from a HIGHLY recommended book by Chris Mack, Fundamental Principles of Optical Lithography. Any serious student

More information

Overview of EUV Lithography and EUV Optics Contamination

Overview of EUV Lithography and EUV Optics Contamination Accelerating the next technology revolution Overview of EUV Lithography and EUV Optics Contamination Andrea Wüest NIST Contamination WS Gaithersburg, MD June 2, 2009 Copyright 2008 SEMATECH, Inc. SEMATECH,

More information

Mueller Matrix Polarimetry: A Powerful Tool for Nanostructure Metrology

Mueller Matrix Polarimetry: A Powerful Tool for Nanostructure Metrology ECS Transactions, 6 () 237-242 (24).49/6.237ecst The Electrochemical Society Mueller Matrix Polarimetry: A Powerful Tool for Nanostructure Metrology Shiyuan Liu *, Xiuguo Chen, and Chuanwei Zhang State

More information

Nanostructures Fabrication Methods

Nanostructures Fabrication Methods Nanostructures Fabrication Methods bottom-up methods ( atom by atom ) In the bottom-up approach, atoms, molecules and even nanoparticles themselves can be used as the building blocks for the creation of

More information

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC The Impact of EUV on the Semiconductor Supply Chain Scotten W. Jones President IC Knowledge LLC sjones@icknowledge.com Outline Why EUV Who needs EUV EUV adoption roadmaps EUV wafer volume projections Impact

More information

Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry

Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry 1 Kin Wai Tang, 1 Teng Hwee Ng, 1 Lei Huang, 1 Susan Ng, 1 Thomas Ku, 2 Wee Teck Chia, 2 Lin

More information

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH High NA the Extension Path of EUV Lithography Dr. Tilmann Heil, Carl Zeiss SMT GmbH Introduction This talk is about resolution. Resolution λ = k 1 NA High-NA NA 0.33 0.4 0.5 0.6 Resolution @ k1=0.3 single

More information

WHITE PAPER ON QUANTUM COMPUTING AND QUANTUM COMMUNICATION

WHITE PAPER ON QUANTUM COMPUTING AND QUANTUM COMMUNICATION WHITE PAPER ON QUANTUM COMPUTING AND QUANTUM COMMUNICATION Based on the discussion during the respective workshop at the ZEISS Symposium Optics in the Quantum World on 18 April 2018 in Oberkochen, Germany

More information

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas 1 Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas Presentation November 14, 2005 Jane P. Chang and John Hoang Department of Chemical and Biomolecular Engineering

More information

Figure 1 below shows the generic process flow of an LELE method of double patterning.

Figure 1 below shows the generic process flow of an LELE method of double patterning. Multilayer Double Patterning MCEE 505/605 LM&P Rajiv Sejpal (585) 622-8081 rns4256@rit.edu Dept. of Microelectronics Engineering, Rochester Institute of Technology GOAL Due to the delay in next generation

More information

TECHNOLOGY ROADMAP METROLOGY 2013 EDITION FOR THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY

TECHNOLOGY ROADMAP METROLOGY 2013 EDITION FOR THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2013 EDITION METROLOGY THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING

More information

Evolution of Optical Lithography towards 22nm and beyond Donis Flagello

Evolution of Optical Lithography towards 22nm and beyond Donis Flagello Evolution of Optical Lithography towards 22nm and beyond Donis Flagello Slide 1 ArF water immersion lithography cannot image 32 nm HP in SE 200 Resolution, Shrink (nm) 100 80 60 40 30 AT:1200 Logic NAND

More information

Use of Layout Automation and Design Based Metrology for Defect Test Mask Design and Verification

Use of Layout Automation and Design Based Metrology for Defect Test Mask Design and Verification Use of Layout Automation and Design Based Metrology for Defect Test Mask Design and Verification Chris Spence, Cyrus Tabery, Andre Poock *, Arndt C. Duerr #, Thomas Witte #, Jan Fiebig #, Jan Heumann #

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction q Integrated circuits: many transistors on one chip q Very Large Scale Integration (VLSI): bucketloads! q Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Nanoscale IR spectroscopy of organic contaminants

Nanoscale IR spectroscopy of organic contaminants The nanoscale spectroscopy company The world leader in nanoscale IR spectroscopy Nanoscale IR spectroscopy of organic contaminants Application note nanoir uniquely and unambiguously identifies organic

More information

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World,AIMS EUV Development Design Study Karl-Heinz Bechstein, Mathias Esselbach, Wolfgang Harnisch, Norbert Rosenkranz, Thomas Scherübl, Holger

More information

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Advanced Materials Research Center, AMRC, International SEMATECH Manufacturing Initiative, and

More information

Introduction to / Status of Directed Self- Assembly

Introduction to / Status of Directed Self- Assembly Introduction to / Status of Directed Self- Assembly DSA Workshop, Kobe Japan, October 2010 Bill Hinsberg IBM Almaden Research Center San Jose CA 95120 hnsbrg@almaden.ibm.com 2010 IBM Corporation from Bringing

More information

Double patterning for 32nm and below: an update. ASML US Inc, 4211 Burton Dr. Santa Clara, CA, USA. IMEC vzw, Kapeldreef 75, B-3001 Heverlee, Belgium;

Double patterning for 32nm and below: an update. ASML US Inc, 4211 Burton Dr. Santa Clara, CA, USA. IMEC vzw, Kapeldreef 75, B-3001 Heverlee, Belgium; Double patterning for 32nm and below: an update Jo Finders (a), Mircea Dusa (b) Bert Vleeming (a) Henry Megens (a) Birgitt Hepp (a) Mireille Maenhoudt (c), Shaunee Cheng (c), Tom Vandeweyer (c) (a) ASML,

More information

EUREKA: A new Industry EUV Research Center at LBNL

EUREKA: A new Industry EUV Research Center at LBNL EUREKA: A new Industry EUV Research Center at LBNL Patrick Naulleau Center for X-ray Optics Lawrence Berkeley National Laboratory Berkeley Lab MSD Materials Sciences Division 1 Operating model Core operational

More information

FOR SEMICONDUCTORS 2009 EDITION METROLOGY

FOR SEMICONDUCTORS 2009 EDITION METROLOGY INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2009 EDITION METROLOGY THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING

More information

I N T R O D U C T I O N : G R O W I N G I T C O M P L E X I T Y

I N T R O D U C T I O N : G R O W I N G I T C O M P L E X I T Y Global Headquarters: 5 Speen Street Framingham, MA 01701 USA P.508.872.8200 F.508.935.4015 www.idc.com W H I T E P A P E R I n v a r i a n t A n a l y z e r : A n A u t o m a t e d A p p r o a c h t o

More information

SMP625 Product Specifications

SMP625 Product Specifications ADVANCED MATERIALS HANDLING SPECIFICATION SHEET SMP625 Product Specifications SPECIFICATIONS Reticle size 152 mm 152 mm 6.35 mm (6" 6" 0.250") Reticle capacity 1 Mass Approximately 500 g Color Black Materials

More information

custom reticle solutions

custom reticle solutions custom reticle solutions 01 special micro structures Pyser Optics has over 60 years experience in producing high quality micro structure products. These products are supplied worldwide to industries including

More information

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process MEEN 489-500 Nanoscale Issues in Manufacturing Lithography Lecture 1: The Lithographic Process 1 Discuss Reading Assignment 1 1 Introducing Nano 2 2 Size Matters 3 3 Interlude One-The Fundamental Science

More information

T h e Y i e l d M a n a g e m e n t C o m p a n y Electrostatic Compatibility in Photolithography An OEM perspective

T h e Y i e l d M a n a g e m e n t C o m p a n y Electrostatic Compatibility in Photolithography An OEM perspective T h e Y i e l d M a n a g e m e n t C o m p a n y Electrostatic Compatibility in Photolithography An OEM perspective Jeff Bruner Compliance Engineering Project Manager KLA-Tencor RAPID Division Topics

More information

Techniken der Oberflächenphysik (Techniques of Surface Physics)

Techniken der Oberflächenphysik (Techniques of Surface Physics) Techniken der Oberflächenphysik (Techniques of Surface Physics) Prof. Yong Lei & Dr. Yang Xu (& Liying Liang) Fachgebiet 3D-Nanostrukturierung, Institut für Physik Contact: yong.lei@tu-ilmenau.de; yang.xu@tu-ilmenau.de;

More information

Model 2300XP PSL & Process-Particle Wafer Deposition System

Model 2300XP PSL & Process-Particle Wafer Deposition System Model 2300XP PSL & Process-Particle Wafer Deposition System Deposit PSL spheres on wafers to create NISTtraceable PSL size standards for - calibrating wafer inspection systems - providing fab-wide and

More information

PARTICLE SIZE ANALYTICAL RANGES AND APPLICABILITY. m mm (10-6 m) nm (10-9 m)

PARTICLE SIZE ANALYTICAL RANGES AND APPLICABILITY. m mm (10-6 m) nm (10-9 m) P A R T I C L E S I Z E A N A L Y S I S PARTICLE SIZE Accurately determining particle size has become essential in many industries, as it is a fundamental physical characteristic that must be selected,

More information

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks Hongseong Sohn and John Tracy Akrion Systems 6330 Hedgewood Drive, Suite 150 Allentown, PA 18106, USA Abstract

More information