EUVL Optics lifetime and contamination. European Update

Size: px
Start display at page:

Download "EUVL Optics lifetime and contamination. European Update"

Transcription

1 EUVL Optics life and contamination European Update EUVL Symposium 27 TWG Optics Contamination and Life Sapporo Bas Wolschrijn, TNO 1/12

2 % productivity EUV intensity [au] % productivity Pulse intensity CxHy typical vacuum system improved vacuum system EUVL vacuum system Cleaned mirrors EUVL vacuum system synchrotron AD tool pulsed Re flectivity FC115 B1 SI Reflectivity FC115 B1 SI Status EUVL symposium 2 Progress in the optics usage programme for ASML EUV Lithographic Tools Bas Wolschrijn 1 *, Rik Jansen 1, Arnold Storm 1, Bas Mertens 1, Dirk Ehm, Thomas Stein, Roel Moors 2, Vadim Banine 2, Hans Meiling 2 1) TNO Science and Industry, P.O Box AD Delft, The Netherlands 2) ASML,, De Run 111, Veldhoven, The Netherlands * corresponding author: bas.wolschrijn@tno.nl ) Carl Zeiss SMT-AG, Abt. HL-VT, D-744 Oberkochen, Germany Introduction In order to make EUV economically viable, optics usage should be in the range of 7-1 years (tens of thousands of illumination hours). The ASML strategy to reach the specification for optics usage includes: good vacuum reduced C-growth improved oxidation resistance of mirrors fast carbon ing, without mirror degradation productivity Optics reflectivity is not influenced by H 2 Influence of H 2 O pressure 1.E-8 1.E-7 1.E- 1.E-5 1.E-4 1.E H2O partial pressure [mbar] Amount of C-growth on mirror depends on EUV intensity and hydrocarbon (CxHy) partial pressure Illumination profile non-able effect non-ed ed R= will check with Roel for latest data -2.. Initial effect reflectivity map initial Prod. loss -2% Mild carbon growth Influence of non-ed ed Exposure H2O] H Optics reflectivity is influenced by C-growth Given experimental data, we expect mild carbon growth for lithotool vacuum environment R (%) Cleaning result R/R = -.15 ±.%! Exposure [Mpulse] R/R = -%! Intentionally Cleaning heavily carbonised mirrors up fully to initial reflectance has been experimentally ed proven!!! Summary - Carbon growth expected in lithotool - No oxidation expected - Optics degardation intensity dependent -Cleaning proven and implemented Progress in Optics Usage On the left: poster contribution EUVL symposium 2, Barcelona See also EU update Optics Life and contamination TWG meeting Barcelona 2 Reflectivity loss % Reflectivity loss R vs EUV power, using model CxHy at 1-5 mbar The first mirrors in the illuminator see the highest EUV intensity and thus the highest carbon growth rate Intensity at the POB mirrors is substantially lower Optics life [exp.hrs] ] production AD-tool Acknowledgements: FOM Rijnhuizen, Philips Eindhoven, PTB Berlin, ALS Berkeley 2/12

3 % productivity EUV intensity [au] % productivity Pulse intensity CxHy typical vacuum system improved vacuum system EUVL vacuum system Cleaned mirrors EUVL vacuum system synchrotron AD tool pulsed Re flectivity FC115 B1 SI Reflectivity FC115 B1 SI Status EUVL symposium 2 Progress in the optics usage programme for ASML EUV Lithographic Tools Bas Wolschrijn 1 *, Rik Jansen 1, Arnold Storm 1, Bas Mertens 1, Dirk Ehm, Thomas Stein, Roel Moors 2, Vadim Banine 2, Hans Meiling 2 1) TNO Science and Industry, P.O Box AD Delft, The Netherlands 2) ASML,, De Run 111, Veldhoven, The Netherlands * corresponding author: bas.wolschrijn@tno.nl ) Carl Zeiss SMT-AG, Abt. HL-VT, D-744 Oberkochen, Germany Introduction In order to make EUV economically viable, optics usage should be in the range of 7-1 years (tens of thousands of illumination hours). The ASML strategy to reach the specification for optics usage includes: good vacuum reduced C-growth improved oxidation resistance of mirrors fast carbon ing, without mirror degradation productivity non-able effect initial Prod. loss -2% Optics reflectivity is influenced by C-growth Exposure [Mpulse] Given experimental data, we expect mild carbon growth for lithotool vacuum environment Strategy productivity non-able effect initial Prod. loss -2% Optics reflectivity is not influenced by H Influence of H 2 O pressure non-ed ed R= 1.E-8 1.E-7 1.E- 1.E-5 1.E-4 1.E H2O partial pressure [mbar] will check with Roel for latest data Amount of C-growth on mirror depends on EUV intensity and hydrocarbon (CxHy) partial pressure Initial effect Mild carbon growth Influence of non-ed ed Exposure H2O] H R (%) Cleaning result R/R = -.15 ±.%! R/R = -%! Intentionally Cleaning heavily carbonised mirrors up fully to initial reflectance has been experimentally ed proven!!! Summary - Carbon growth expected in lithotool - No oxidation expected - Optics degardation intensity dependent -Cleaning proven and implemented Given experimental data, we expect mild carbon growth for lithotool vacuum environment Illumination profile reflectivity map Progress in Optics Usage Reflectivity loss % Reflectivity loss R vs EUV power, using model CxHy at 1-5 mbar The first mirrors in the illuminator see the highest EUV intensity and thus the highest carbon growth rate Intensity at the POB mirrors is substantially lower Optics life [exp.hrs] ] production AD-tool Acknowledgements: FOM Rijnhuizen, Philips Eindhoven, PTB Berlin, ALS Berkeley /12

4 % productivity EUV intensity [au] % productivity Pulse intensity CxHy typical vacuum system improved vacuum system EUVL vacuum system Cleaned mirrors EUVL vacuum system synchrotron AD tool pulsed Re flectivity FC115 B1 SI Reflectivity FC115 B1 SI Status EUVL symposium 2 Progress in the optics usage programme for ASML EUV Lithographic Tools Bas Wolschrijn 1 *, Rik Jansen 1, Arnold Storm 1, Bas Mertens 1, Dirk Ehm, Thomas Stein, Roel Moors 2, Vadim Banine 2, Hans Meiling 2 1) TNO Science and Industry, P.O Box AD Delft, The Netherlands 2) ASML,, De Run 111, Veldhoven, The Netherlands * corresponding author: bas.wolschrijn@tno.nl ) Carl Zeiss SMT-AG, Abt. HL-VT, D-744 Oberkochen, Germany Illumination profile reflectivity map Introduction In order to make EUV economically viable, optics usage should be in the range of 7-1 years (tens of thousands of illumination hours). The ASML strategy to reach the specification for optics usage includes: good vacuum reduced C-growth improved oxidation resistance of mirrors fast carbon ing, without mirror degradation productivity non-able effect initial Optics reflectivity is influenced by C-growth Exposure [Mpulse] Prod. loss -2% Given experimental data, we expect mild carbon growth for lithotool vacuum environment Optics reflectivity is not influenced by H Influence of H 2 O pressure non-ed ed R= 1.E-8 1.E-7 1.E- 1.E-5 1.E-4 1.E H2O partial pressure [mbar] will check with Roel for latest data -2.. Initial effect Mild carbon growth Influence of non-ed ed Exposure H2O] H R (%) Cleaning result R/R = -.15 ±.%! R/R = -%! Intentionally Cleaning heavily carbonised mirrors up fully to initial reflectance has been experimentally ed proven!!! Residual carbon growth is expected to be intensity dependent Amount of C-growth on mirror depends on EUV intensity and hydrocarbon (CxHy) partial pressure Summary - Carbon growth expected in lithotool - No oxidation expected - Optics degardation intensity dependent -Cleaning proven and implemented Illumination profile reflectivity map Progress in Optics Usage Reflectivity loss % Reflectivity loss R vs EUV power, using model CxHy at 1-5 mbar The first mirrors in the illuminator see the highest EUV intensity and thus the highest carbon growth rate Intensity at the POB mirrors is substantially lower Optics life [exp.hrs] ] production AD-tool Acknowledgements: FOM Rijnhuizen, Philips Eindhoven, PTB Berlin, ALS Berkeley 4/12

5 % productivity EUV intensity [au] % productivity Pulse intensity CxHy typical vacuum system improved vacuum system EUVL vacuum system Cleaned mirrors EUVL vacuum system synchrotron AD tool pulsed Re flectivity FC115 B1 SI Reflectivity FC115 B1 SI Status EUVL symposium 2 Progress in the optics usage programme for ASML EUV Lithographic Tools Bas Wolschrijn 1 *, Rik Jansen 1, Arnold Storm 1, Bas Mertens 1, Dirk Ehm, Thomas Stein, Roel Moors 2, Vadim Banine 2, Hans Meiling 2 1) TNO Science and Industry, P.O Box AD Delft, The Netherlands 2) ASML,, De Run 111, Veldhoven, The Netherlands * corresponding author: bas.wolschrijn@tno.nl ) Carl Zeiss SMT-AG, Abt. HL-VT, D-744 Oberkochen, Germany Introduction In order to make EUV economically viable, optics usage should be in the range of 7-1 years (tens of thousands of illumination hours). The ASML strategy to reach the specification for optics usage includes: good vacuum reduced C-growth improved oxidation resistance of mirrors fast carbon ing, without mirror degradation productivity non-able effect initial Prod. loss -2% Optics reflectivity is influenced by C-growth Exposure [Mpulse] Given experimental data, we expect mild carbon growth for lithotool vacuum environment R (%) Cleaning result R/R = -.15 ±.%! R/R = -%! - - Reflectivity FC115 B1 SI Optics reflectivity is not influenced by H 2 Mild carbon growth Cleaning result Influence of H 2 O pressure non-ed ed R= 1.E-8 1.E-7 1.E- 1.E-5 1.E-4 1.E H2O partial pressure [mbar] will check with Roel for latest data -2.. Initial effect Influence of non-ed ed Exposure H2O] H R (%) R/R = -%! Intentionally Cleaning heavily carbonised mirrors up fully to initial reflectance has been experimentally ed proven!!! R/R = -.15 ±.%! Intentionally carbonised mirror fully Cleaning on heavily carbonised mirrors up to initial reflectance has been experimentally ed proven!!! Reflectivity loss % Amount of C-growth on mirror depends on EUV intensity and hydrocarbon (CxHy) partial pressure Illumination profile Reflectivity loss R vs EUV power, using model CxHy at 1-5 mbar reflectivity map The first mirrors in the illuminator see the highest EUV intensity and thus the highest carbon growth rate Intensity at the POB mirrors is substantially lower Summary - Carbon growth expected in lithotool - No oxidation expected - Optics degardation intensity dependent -Cleaning proven and implemented Progress in Optics Usage Optics life [exp.hrs] ] production AD-tool Losses due to any carbon growth will be ed Acknowledgements: FOM Rijnhuizen, Philips Eindhoven, PTB Berlin, ALS Berkeley 5/12

6 So far for the observation from experimental program What do we observe at when Alpha Demo Tools are operational? /12

7 ADT data During usage of ASML Alpha tools, the mirror contamination behavior has been investigated for several mirrors The observed contamination rate is different for various mirrors contamination rate depends on intensity, similar to the findings in our experimental setups Contamination is proven to be reversible (able) with implemented ing method as expected ADT mirror data Fit Contamination Rate Intensity 7/12

8 ADT data We measured the contamination distribution on one single mirror ( spot resolved ) Intensity dependence also observed within one single mirror ADT mirror data Fit Single mirror: spot resolved Contamination Rate Intensity 8/12

9 ADT data Intensity dependence also observed by in-situ measurement(s) (dedicated experiment) ADT mirror data Fit In-situ reference measurement Single mirror: spot resolved Contamination Rate Intensity /12

10 ADT data: after liness improvements Reason for contamination is known/understood Additional vacuum improvements further enhanced the liness of the Alpha Tools below detectable levels (!) ADT mirror data Fit In-situ reference measurement Single mirror: spot resolved Contamination Rate In-situ reference measurement after improvements Intensity 1/12

11 Towards Production tools Alpha tools learning Production tool program Fundamental research The ASML strategy to reach the specification for optics usage includes: good vacuum reduced C-growth improved oxidation resistance of mirrors fast carbon ing, without mirror degradation 11/12

12 Acknowledgements Carl Zeiss SMT AG, Oberkochen, Germany ASML, Veldhoven, The Netherlands TNO Science and Industry, Delft/Eindhoven, The Netherlands FOM, Nieuwegein, The Netherlands PTB, Berlin, Germany Philips, Eindhoven, The Netherlands ALS, Berkeley, USA 12/12

Progress on ASML s EUV Alpha Demo Tool

Progress on ASML s EUV Alpha Demo Tool Progress on ASML s EUV Alpha Demo Tool Noreen Harned 1, Peter Kuerz 3, Hans Meiling 2, Bas Mertens 5, Gregor van Baars 4 3rd International EUVL Symposium 2 November 2004 1 ASML, Wilton, CT; 2 ASML, Veldhoven,

More information

Overview of EUV Lithography and EUV Optics Contamination

Overview of EUV Lithography and EUV Optics Contamination Accelerating the next technology revolution Overview of EUV Lithography and EUV Optics Contamination Andrea Wüest NIST Contamination WS Gaithersburg, MD June 2, 2009 Copyright 2008 SEMATECH, Inc. SEMATECH,

More information

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Uzodinma Okoroanyanwu, 1 Aiqin Jiang, 2 Kornelia Dittmar, 3 Torsten Fahr, 3 Thomas Laursen, 2 Obert Wood, 1 Kevin Cummings, 2 Christian

More information

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH High NA the Extension Path of EUV Lithography Dr. Tilmann Heil, Carl Zeiss SMT GmbH Introduction This talk is about resolution. Resolution λ = k 1 NA High-NA NA 0.33 0.4 0.5 0.6 Resolution @ k1=0.3 single

More information

Current development status of Shin-Etsu EUV pellicle

Current development status of Shin-Etsu EUV pellicle Current development status of Shin-Etsu EUV pellicle Advanced Functional Materials Research Center 1 Why Pellicle for EUV Lithography? Extensive studies on particle addition during reticle transfer have

More information

Resist-outgas testing and EUV optics contamination at NIST

Resist-outgas testing and EUV optics contamination at NIST 1 2012 International Workshop on EUVL, Maui, HI Resist-outgas testing and EUV optics contamination at NIST Shannon Hill, Nadir Faradzhev, Charles Tarrio, Steve Grantham, Lee Richter and Tom Lucatorto National

More information

Screening of basic resist materials and PAGs for EUV-Lithography

Screening of basic resist materials and PAGs for EUV-Lithography Screening of basic resist materials and PAGs for EUV-Lithography 1. Int. EUVL-Symposium, Dallas, TX Klaus Lowack, Wolf-Dieter Domke, liver Kirch, Karl Kragler, Heinz-Ulrich Scheunemann* Infineon Technologies

More information

Carbon removal from trenches on EUV reticles

Carbon removal from trenches on EUV reticles Carbon removal from trenches on EUV reticles N.B. Koster a*, C.P.E.C. Geluk a, T.W. Versloot b, J.P.B. Janssen a, Y. Fleming c, T. Wirtz c a TNO, Stieltjesweg 1, 2628 CK Delft, The Netherlands; b ESA,

More information

Actinic review of EUV masks: First results from the AIMS EUV system integration

Actinic review of EUV masks: First results from the AIMS EUV system integration Invited Paper Actinic review of EUV masks: First results from the AIMS EUV system integration Markus R. Weiss* a, Dirk Hellweg a, Jan Hendrik Peters b, Sascha Perlitz b, Anthony Garetto b, Michael Goldstein

More information

ASML Approach to Euv Reticle Handling

ASML Approach to Euv Reticle Handling ASML Approach to Euv Reticle Handling Mask Workshop Antwerp Henk Meijer 3-October-2003 / Slide 1 Presentation Agenda Unique features of EUV reticles Contamination

More information

Cleaning of Silicon-Containing Carbon Contamination

Cleaning of Silicon-Containing Carbon Contamination RC-P4 Cleaning of Silicon-Containing Carbon Contamination Toshihisa Anazawa, Noriaki Takagi, Osamu Suga, Iwao Nishiyama MIRAI-Semiconductor Leading Edge Technologies, Inc. Koichi Yamawaki, Hirotsugu Yano,

More information

Analysis of carbon contamination on EUV mask using CSM/ ICS

Analysis of carbon contamination on EUV mask using CSM/ ICS Analysis of carbon contamination on EUV mask using CSM/ ICS Jae Uk Lee 1, Chang Young Jeong 1, Sangsul Lee 1, Jong Gul Doh 1,, Dong Geun Lee 2, Seong-Sue Kim 2, Han-Ku Cho 2, Seung-yu Rah 3 and Jinho Ahn

More information

EUV lithography industrialization for HVM

EUV lithography industrialization for HVM EUV lithography industrialization for HVM Michael Lercel Director, Strategic Marketing, Tokyo Outline Slide 2 NXE Roadmap NXE:3400B performance Reticle front-side defectivity EUV source roadmap EUV extendibility

More information

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas I. Kambali, G. Atom O Sullivan Indonesia / Atom Vol. Indonesia 4 No. 2 (24) Vol. 47 No. - 2 (24) 7 - Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas I. Kambali * and G. O Sullivan

More information

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine Content EUV lithography: History and status EUV sources- historical perspective: Age of choice Age of Xe Age of Sn Age

More information

Padraig Dunne, UCD School of Physics Dublin, Ireland.

Padraig Dunne, UCD School of Physics Dublin, Ireland. Padraig Dunne, UCD School of Physics Dublin, Ireland. Contents Zurich Prague Dublin Padova Carl Zeiss Aachen ASML IMEC EPPRA Xtreme ISAN ISAN Progress in on line MLM carbon cleaning Progress in radiative

More information

Enhanced Performance of Multilayer Optics for Water Window Microscopy

Enhanced Performance of Multilayer Optics for Water Window Microscopy Enhanced Performance of Multilayer Optics for Water Window Microscopy 2016 International Workshop on EUV and soft X-Ray Sources Torsten Feigl, Hagen Pauer, Tobias Fiedler, Marco Perske optix fab GmbH,

More information

EUV Lithography Status and Key Challenges for HVM Implementation

EUV Lithography Status and Key Challenges for HVM Implementation EUV Lithography Status and Key Challenges for HVM Implementation Sam Intel Corporation Moore s Law at Intel 10 Feature Size (um) 100 Cell Area (sq um) 1 10 0.5x every 2 years 0.1 1 0.01 1970 1980 1990

More information

Overview European EUVL programme

Overview European EUVL programme Rob Hartman Overview European EUVL programme Rob Hartman 3 rd International EUVL Symposium Miyazaki, 3 November, 2004 Rob Hartman Agenda When EUV? European programme in risk areas Source Tool & Optics

More information

Holographic method for detecting amplitude and phase-shift errors and features in EUV ML reticle blanks

Holographic method for detecting amplitude and phase-shift errors and features in EUV ML reticle blanks Holographic method for detecting amplitude and phase-shift errors and features in EUV ML reticle blanks David Nijkerk, Norbert Koster, Eddy van Brug and Diederik Maas* TNO Science and Industry, Stieltjesweg

More information

A short pulsed laser cleaning system for EUVL tool

A short pulsed laser cleaning system for EUVL tool A short pulsed laser cleaning system for EUVL tool Masami Yonekawa, Hisashi Namba and Tatsuya Hayashi Nanotechnology & Advanced System Research Laboratories, Canon inc. 23-10, Kiyohara-Kogyodanchi, Utsunomiya-shi,

More information

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source Eric Gullikson Lawrence Berkeley National Laboratory 1 Reflectometry and Scattering Beamline (ALS 6.3.2) Commissioned Fall 1994

More information

EUV Lithography Towards Industrialization

EUV Lithography Towards Industrialization EUV Lithography Towards Industrialization Wim van der Zande, Director of Research, ASML Dublin Meeting November 2014 Slide 2 Agenda EUV benefit and status at customers Towards higher productivity Summary

More information

Characterization of XUV sources

Characterization of XUV sources Characterization of XUV sources Stuik, R. DOI: 10.6100/IR558141 Published: 01/01/2002 Document Version Publisher s PDF, also known as Version of Record (includes final page, issue and volume numbers) Please

More information

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source 1 Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source D. Nakamura, T. Akiyama, K. Tamaru, A. Takahashi* and T. Okada Graduate School of Information Science and Electrical Engineering,

More information

Status of multilayer coatings for EUV Lithography

Status of multilayer coatings for EUV Lithography Status of multilayer coatings for EUV Lithography Yuriy Platonov 1, Jim Rodriguez 1, Michael Kriese 1 Eric Louis 2, Torsten Feigl 3, Sergey Yulin 3, 1 Rigaku Innovative Technologies, 1900 Taylor Rd., Auburn

More information

BEUV nanolithography: 6.7 or 11 nm?

BEUV nanolithography: 6.7 or 11 nm? BEUV nanolithography: 6.7 or 11 nm? N. I. Chkhalo, N. N. Salashchenko Institute for physics of microstructures of RAS, Nizhny Novgorod, Russia 2013 International Workshop on EUV and Soft X-Ray Dublin Ireland

More information

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source 3rd International EUVL Symposium NOVEMBER 1-4, 2004 Miyazaki, Japan Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source H. Tanaka, A. Matsumoto, K. Akinaga, A. Takahashi

More information

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application EUV light source plasma Tin icrodroplet Main pulse (CO2 laser pulse) Pre-pulse (Nd:YAG laser

More information

EUREKA: A new Industry EUV Research Center at LBNL

EUREKA: A new Industry EUV Research Center at LBNL EUREKA: A new Industry EUV Research Center at LBNL Patrick Naulleau Center for X-ray Optics Lawrence Berkeley National Laboratory Berkeley Lab MSD Materials Sciences Division 1 Operating model Core operational

More information

Kirkpatrick Baez X ray optics for astrophysics: Recent status

Kirkpatrick Baez X ray optics for astrophysics: Recent status Contrib. Astron. Obs. Skalnaté Pleso 8, 37 5, (18) Kirkpatrick Baez X ray optics for astrophysics: Recent status R. Hudec 1,, L. Pina 3, V. Marsikova 1, O. Nentvich 1, M. Urban 1 and A. Inneman 1 1 Czech

More information

arxiv: v1 [cond-mat.mtrl-sci] 25 Aug 2014

arxiv: v1 [cond-mat.mtrl-sci] 25 Aug 2014 arxiv:1408.5704v1 [cond-mat.mtrl-sci] 25 Aug 2014 Graphene defect formation by extreme ultraviolet generated photoelectrons A. Gao, 1, 2, a) C.J. Lee, 1, 2 and F. Bijkerk 1, 2 1) FOM-Dutch Institute for

More information

Evaluation at the intermediate focus for EUV Light Source

Evaluation at the intermediate focus for EUV Light Source Evaluation at the intermediate focus for EUV Light Source Takashi Suganuma, Georg Soumagne, Masato Moriya, Tamotsu Abe, Akira Sumitani, Akira Endo Extreme Ultraviolet Lithography System Development Association

More information

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 ASML NXE Pellicle progress update Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 Contents Slide 2 Introduction: a look back at 2015 NXE Pellicle update Pellicle film development NXE Scanner

More information

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World,AIMS EUV Development Design Study Karl-Heinz Bechstein, Mathias Esselbach, Wolfgang Harnisch, Norbert Rosenkranz, Thomas Scherübl, Holger

More information

EUV-collector mirrors for high-power LPP sources

EUV-collector mirrors for high-power LPP sources EUV-collector mirrors for high-power LPP sources EUV Source Workshop Torsten Feigl, Sergiy Yulin, Nicolas Benoit, Norbert Kaiser Fraunhofer IOF Jena Norbert Böwering, Oleh Khodykin, David Brandt Cymer,

More information

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems Metrology, 61 Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems Manish Chandhok,, Sang H. Lee, Christof Krautschik, Guojing Zhang, Bryan

More information

EUV Reflectometry for Determining the Optical Properties of Photoresists and Underlayer Materials Upon Irradiation at 13.5-nm

EUV Reflectometry for Determining the Optical Properties of Photoresists and Underlayer Materials Upon Irradiation at 13.5-nm EUV Reflectometry for Determining the Optical Properties of Photoresists and Underlayer Materials Upon Irradiation at 13.5-nm Grace H. Ho, 1 Fu-H. Kang, 1 Yu-H. Shih, 1 Hok-S. Fung, Hwang-W. Fu, Rikimaru

More information

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Advanced Materials Research Center, AMRC, International SEMATECH Manufacturing Initiative, and

More information

FEASIBILITY OF IN SITU TXRF

FEASIBILITY OF IN SITU TXRF FEASIBILITY OF IN SITU TXRF A. ngh 1, P. Goldenzweig 2, K. Baur 1, S. Brennan 1, and P. Pianetta 1 1. Stanford Synchrotron Radiation Laboratory, Stanford, CA 94309, US 2. Binghamton University, New York,

More information

Lab- and field-test results of MFIG, the first real-time vacuum-contamination sensor

Lab- and field-test results of MFIG, the first real-time vacuum-contamination sensor Lab- and field-test results of MFIG, the first real-time vacuum-contamination sensor Diederik Maas *, 1 Pim Muilwijk, Michel van Putten, Frank de Graaf, Olaf Kievit, Patrique Boerboom and Norbert Koster

More information

Chromeless Phase Lithography (CPL)

Chromeless Phase Lithography (CPL) Chromeless Phase Lithography (CPL) Chromeless Phase Lithography or CPL is a recent development in the area of phase shifting technology that is extending the perceived k 1 limits and has the potential

More information

High Brightness EUV Light Source System Development for Actinic Mask Metrology

High Brightness EUV Light Source System Development for Actinic Mask Metrology High Brightness EUV Light Source System Development for Actinic Mask Metrology Peter Choi, Sergey V. Zakharov, Raul Aliaga-Rossel, Aldrice Bakouboula, Otman Benali, Philippe Bove, Michèle Cau, Grainne

More information

Comparison of experimental and simulated extreme ultraviolet spectra of xenon and tin discharges

Comparison of experimental and simulated extreme ultraviolet spectra of xenon and tin discharges Comparison of experimental and simulated extreme ultraviolet spectra of xenon and tin discharges E. R. Kieft,* K. Garloff, and J. J. A. M. van der Mullen Department of Applied Physics, Eindhoven University

More information

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial Marks for EUV mask blanks Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial marks are laser scribed on 200 mm wafers to enable defect registration on metrology

More information

Document Version Publisher s PDF, also known as Version of Record (includes final page, issue and volume numbers)

Document Version Publisher s PDF, also known as Version of Record (includes final page, issue and volume numbers) Comparison of experimental and simulated extreme ultraviolet spectra of xenon and tin discharges Kieft, E.R.; Garloff, K.; Mullen, van der, J.J.A.M.; Banine, V.Y. Published in: Physical Review E DOI: 10.1103/PhysRevE.71.036402

More information

Lecture 8. Photoresists and Non-optical Lithography

Lecture 8. Photoresists and Non-optical Lithography Lecture 8 Photoresists and Non-optical Lithography Reading: Chapters 8 and 9 and notes derived from a HIGHLY recommended book by Chris Mack, Fundamental Principles of Optical Lithography. Any serious student

More information

Status of EUV Sources for Mask Metrology

Status of EUV Sources for Mask Metrology Status of EUV Sources for Mask Metrology Vivek Bakshi, Ph.D. EUV Litho Inc. 10202 Womack Road, Austin, TX 78748 USA www.euvlitho.com vivek.bakshi@euvlitho.com Outline Background Current Technology Status

More information

ABSTRACT 1. INTRODUCTION

ABSTRACT 1. INTRODUCTION Impact of pixel-dose optimization on pattern fidelity for helium ion beam lithography on EUV resist Nima Kalhor a, Wouter Mulckhuyse b, Paul Alkemade a and Diederik Maas* b a Kavli Institute of Nanoscience,

More information

Interactions of 3D mask effects and NA in EUV lithography

Interactions of 3D mask effects and NA in EUV lithography Second Place, Best Paper Award Interactions of 3D mask effects and NA in EUV lithography Jens Timo Neumann* a, Paul Gräupner a, Winfried Kaiser a, Reiner Garreis a, Bernd Geh b a Carl Zeiss SMT GmbH, Rudolf-Eber-Str.

More information

Damage to Molecular Solids Irradiated by X-ray Laser Beam

Damage to Molecular Solids Irradiated by X-ray Laser Beam WDS'11 Proceedings of Contributed Papers, Part II, 247 251, 2011. ISBN 978-80-7378-185-9 MATFYZPRESS Damage to Molecular Solids Irradiated by X-ray Laser Beam T. Burian, V. Hájková, J. Chalupský, L. Juha,

More information

Measurement of EUV scattering from Mo/Si multilayer mirrors

Measurement of EUV scattering from Mo/Si multilayer mirrors Measurement of EUV scattering from Mo/Si multilayer mirrors N. Kandaka, T. Kobayashi, T. Komiya, M. Shiraishi, T. Oshino and K. Murakami Nikon Corp. 3 rd EUVL Symposium Nov. 2-4 2004 (Miyazaki, JAPAN)

More information

Multilayer Optics, Past and Future. Eberhard Spiller

Multilayer Optics, Past and Future. Eberhard Spiller Multilayer Optics, Past and Future Eberhard Spiller 1 Imaging with light Waves move by λ in 10-15 to 10-19 sec Wave trains are 10-14 to 10-18 sec long Each wavelet contains less than 1 photon Eye responds

More information

Innovative partnership offers benefits for all

Innovative partnership offers benefits for all 2007 Spring Edition ASML s customer magazine Innovative partnership offers benefits for all Smart Service enables best-in-class support Paving the way to sub 40-nm imaging and double patterning 8 12 16

More information

High-resolution EUV Microstepper tool for resist testing & technology evaluation

High-resolution EUV Microstepper tool for resist testing & technology evaluation High-resolution EUV Microstepper tool for resist testing & technology evaluation A Brunton, J Cashmore, P Elbourn, G Elliner, M Gower, P Grünewald, M Harman, S Hough, N McEntee, S Mundair, D Rees, P Richards,

More information

Document Version Publisher s PDF, also known as Version of Record (includes final page, issue and volume numbers)

Document Version Publisher s PDF, also known as Version of Record (includes final page, issue and volume numbers) Particle-in-cell Monte Carlo simulations of an extreme ultraviolet radiation driven plasma van der Velden, M.H.L.; Brok, W.J.M.; van der Mullen, J.J.A.M.; Goedheer, W.J.; Banine, V.Y. Published in: Physical

More information

Thomas Schwarz-Selinger. Max-Planck-Institut for Plasmaphysics, Garching Material Science Division Reactive Plasma Processes

Thomas Schwarz-Selinger. Max-Planck-Institut for Plasmaphysics, Garching Material Science Division Reactive Plasma Processes Max-Planck-Institut für Plasmaphysik Thomas Schwarz-Selinger Max-Planck-Institut for Plasmaphysics, Garching Material Science Division Reactive Plasma Processes personal research interests / latest work

More information

Customized EUV optics made by optix fab

Customized EUV optics made by optix fab Customized EUV optics made by optix fab Information about optix fab product portfolio Torsten Feigl Jena, January 2015 Outline Introduction Infrastructure EUV multilayer optics activities Product highlights

More information

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Title Spatial scaling metrics of mask-induced induced line-edge roughness Permalink https://escholarship.org/uc/item/5rc666c3

More information

Takeo Watanabe Center for EUVL, University of Hyogo

Takeo Watanabe Center for EUVL, University of Hyogo Soft X-ray Absorption Spectroscopy using SR for EUV Resist Chemical Reaction Analysis Takeo Watanabe Center for EUVL, University of Hyogo Outline 1) Background 2) Princple of X-ray absorption spectroscopy

More information

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Manish Chandhok, a Heidi Cao, a Wang Yueh, a Eric Gullikson, b Robert Brainard, c Stewart Robertson c a Intel Corporation,

More information

Stability of Organic Materials. Anders Hagfeldt Dept. of Physical Chemistry Ångström Solar Center Uppsala University

Stability of Organic Materials. Anders Hagfeldt Dept. of Physical Chemistry Ångström Solar Center Uppsala University Stability of Organic Materials Anders Hagfeldt Dept. of Physical Chemistry Ångström Solar Center Uppsala University Anders.Hagfeldt@fki.uu.se Specific features of DSC Charge separation and transport are

More information

EO single-shot temporal measurements of electron bunches

EO single-shot temporal measurements of electron bunches EO single-shot temporal measurements of electron bunches and of terahertz CSR and FEL pulses. Steven Jamison, Giel Berden, Allan MacLeod Allan Gillespie, Dino Jaroszynski, Britta Redlich, Lex van der Meer

More information

Uncertainty Analysis for Heat-flux DSC Measurements

Uncertainty Analysis for Heat-flux DSC Measurements Uncertainty Analysis for Heat-flux DSC Measurements metrology-focused coordinated R&D integration of national research programmes supported by the European Commission collaboration between National Measurement

More information

Spin-resolved photoelectron spectroscopy

Spin-resolved photoelectron spectroscopy Spin-resolved photoelectron spectroscopy Application Notes Spin-resolved photoelectron spectroscopy experiments were performed in an experimental station consisting of an analysis and a preparation chamber.

More information

Polymer Matrix Effects on EUV Acid Generation

Polymer Matrix Effects on EUV Acid Generation Polymer Matrix Effects on EUV Acid Generation Theodore H. Fedynyshyn, Russell B. Goodman, and Jeanette Roberts # Lincoln Laboratory Massachusetts Institute of Technology # Intel Corporation The Lincoln

More information

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA EUV Litho, June 15 th, 2016 Luc Girard 1, Lou Marchetti 1, Jim Kennon 2, Bob Kestner 2, Regina Soufli 3, Eric Gullickson 4 1 Zygo Corporation,

More information

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source Introduction and Outline A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source Rainer Lebert, AIXUV Target Features of High Brightness EUV Source LPP Concept to reach Specification Target

More information

The TROPOMI Telescope

The TROPOMI Telescope The TROPOMI Telescope Design, fabrication and test of a freeform optical system Authors: David Nijkerk Bart van Venrooy Peter van Doorn Rens Henselmans Folkert Draaisma André Hoogstrate Presented by Ad

More information

Design of Attenuated Phase-shift shift Mask with ITO Absorber for Extreme Ultraviolet Lithography

Design of Attenuated Phase-shift shift Mask with ITO Absorber for Extreme Ultraviolet Lithography MA-P18 7 EUVL Symposium Design of Attenuated Phase-shift shift Mask with Absorber for Extreme Ultraviolet Lithography Hee Young Kang and Chang Kwon Hwangbo Department of Physics, Inha University, Incheon

More information

UV Source Series. UVS 10/35 gas discharge, UVS 300 duoplasmatron. Key Features

UV Source Series. UVS 10/35 gas discharge, UVS 300 duoplasmatron. Key Features UV Source Series UVS 10/35 gas discharge, UVS 300 duoplasmatron and UVLS microwave source for UPS and arpes Key Features Ultimate intensity and stability UV sources Ease of operation Variable excitation

More information

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources Kazutoshi Takenoshita, Chiew-Seng Koay, Somsak Teerawattansook, & Martin Richardson Laser Plasma Laboratory,

More information

Figure 1 below shows the generic process flow of an LELE method of double patterning.

Figure 1 below shows the generic process flow of an LELE method of double patterning. Multilayer Double Patterning MCEE 505/605 LM&P Rajiv Sejpal (585) 622-8081 rns4256@rit.edu Dept. of Microelectronics Engineering, Rochester Institute of Technology GOAL Due to the delay in next generation

More information

FHI Lecture Series Modern Methods in Heterogeneous Catalysis: Transient Infrared Spectroscopy

FHI Lecture Series Modern Methods in Heterogeneous Catalysis: Transient Infrared Spectroscopy FHI Lecture Series Modern Methods in Heterogeneous Catalysis: Transient Infrared Spectroscopy Prof. Guido Mul University of Twente Thanks to : Dr. Gerben Hamminga (now BASF) Dr. Dirk Renckens (now ASML)

More information

SUPPORTING INFORMATION: Titanium Contacts to Graphene: Process-Induced Variability in Electronic and Thermal Transport

SUPPORTING INFORMATION: Titanium Contacts to Graphene: Process-Induced Variability in Electronic and Thermal Transport SUPPORTING INFORMATION: Titanium Contacts to Graphene: Process-Induced Variability in Electronic and Thermal Transport Keren M. Freedy 1, Ashutosh Giri 2, Brian M. Foley 2, Matthew R. Barone 1, Patrick

More information

CHARACTERIZING CRACKS WITH ACTIVE THERMOGRAPHY

CHARACTERIZING CRACKS WITH ACTIVE THERMOGRAPHY CHARACTERIZING CRACKS WITH ACTIVE THERMOGRAPHY J. Schlichting, G. N. Kervalishvili, Ch. Maierhofer, M. Kreutzbruck BAM Federal Institute for Materials Research and Testing, Berlin, Germany 1. Introduction

More information

Optical characterization of highly inhomogeneous thin films

Optical characterization of highly inhomogeneous thin films Optical characterization of highly inhomogeneous thin films D.M. Rosu, A. Hertwig, P. Petrik, U. Beck Department 6.7 - Surface Modification and Measurement Technique BAM - Federal Institute for Materials

More information

A NEW REALIZATION OF TERRESTRIAL TIME

A NEW REALIZATION OF TERRESTRIAL TIME CCTF/04-17 A NEW REALIZATION OF TERRESTRIAL TIME G. Petit BIPM, Pavillon de Breteuil, 92312 Sèvres Cedex France- e-mail: gpetit@bipm.org ABSTRACT Terrestrial Time TT is a time coordinate in a geocentric

More information

Thermal Expansion property and Surface Finish capability of CLEARCERAM -Z series for EUVL Photomask Substrate Application

Thermal Expansion property and Surface Finish capability of CLEARCERAM -Z series for EUVL Photomask Substrate Application Thermal Expansion property and Surface Finish capability of CLEARCERAM -Z series for EUVL Photomask Substrate Application Kousuke Nakajima, Toshihide Nakajima, Yoshiyuki Owari OHARA Incorporated 3 rd International

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

EUV-Technology with Discharge EUV-Lamp"

EUV-Technology with Discharge EUV-Lamp EUV-Technology with Discharge EUV-Lamp" Rainer Lebert, Larissa Juschkin, Christian Wies, Bernhard Jägle, Manfred Meisen, Ulrich Bieberle, Willi Neff, Juri Barthel, Konstantin Walter, Klaus Bergmann, Fraunhofer

More information

In-Situ Analysis of Traces, Minor and Major Elements in Rocks and Soils with a Portable XRF Spectrometer*

In-Situ Analysis of Traces, Minor and Major Elements in Rocks and Soils with a Portable XRF Spectrometer* In-Situ Analysis of Traces, Minor and Major Elements in Rocks and Soils with a Portable XRF Spectrometer* Anthony Thomas 1, Joachim Heckel 1, and Dirk Wissmann 1 Search and Discovery Article #41836 (2016)

More information

Development of the High-Temperature Dew-Point Generator Over the Past 15 Years

Development of the High-Temperature Dew-Point Generator Over the Past 15 Years Int J Thermophys (2017) 38:161 DOI 10.1007/s10765-017-2291-x TEMPMEKO 2016 Development of the High-Temperature Dew-Point Generator Over the Past 15 Years R. Bosma 1 J. Nielsen 2 A. Peruzzi 1 Received:

More information

Resist material for negative tone development process

Resist material for negative tone development process Resist material for negative tone development process FUJIFILM Corporation Electronic Materials Research Laboratories P-1 Outline 1. Advantages of negative tone imaging for DP 2. Process maturity of negative

More information

Lead time reduction by optimal test sequencing

Lead time reduction by optimal test sequencing Lead time reduction by optimal test sequencing Roel Boumen, Ivo de Jong, Asia van de Mortel-Fronczak, Koos Rooda / Slide Bibliography Ir. Roel Boumen MSc degree in Mechanical Engineering in 24 on supervisory

More information

Measuring the EUV-induced contamination rates of TiO 2 -capped multilayer optics by anticipated production-environment hydrocarbons

Measuring the EUV-induced contamination rates of TiO 2 -capped multilayer optics by anticipated production-environment hydrocarbons Measuring the EUV-induced contamination rates of TiO 2 -capped multilayer optics by anticipated production-environment hydrocarbons S. B. Hill * a, N. S. Faradzhev b, C. S. Tarrio a, and T. B. Lucatorto

More information

Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources

Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources Extreme ultraviolet (EUV) light sources and their optimization for emission within a narrow wavelength band

More information

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Yezheng Tao*, Mark Tillack, Kevin Sequoia, Russel Burdt, Sam Yuspeh, and Farrokh Najmabadi University of California, San Diego

More information

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing Britt Turkot Intel Corporation Outline Milestone Progress Exposure Tool Reticle Pellicle Infrastructure HVM Considerations

More information

Security in Outer Space: Rising Stakes

Security in Outer Space: Rising Stakes Security in Outer Space: Rising Stakes Forging ahead: from SSA to Space Safety 12 th ESPI Autumn Conference, Vienna, 27-28 Septembre Nicolas Bobrinsky ESA, H/ SSA Programme ESA UNCLASSIFIED - For Official

More information

Gas-phase study of the reactivity of optical coating materials with hydrocarbons by use of a desktop-size extreme-ultraviolet laser

Gas-phase study of the reactivity of optical coating materials with hydrocarbons by use of a desktop-size extreme-ultraviolet laser Heinbuch et al. Vol. 25, No. 7/July 2008/J. Opt. Soc. Am. B B85 Gas-phase study of the reactivity of optical coating materials with hydrocarbons by use of a desktop-size extreme-ultraviolet laser Scott

More information

Nanoholes for leak metrology

Nanoholes for leak metrology Vacuum Metrology for Industry Nanoholes for leak metrology Università Degli Studi di Genova, Italy OUTLINE INTRODUCTION FABRICATION OF NANOHOLES GEOMETRICAL CHARACTERIZATION LEAK DEVICES RESULTS: PTB INRIM

More information

EVALUATION OF THE UNCERTAINTY IN THE REALIZATION OF THE PLTS-2000 AT NMi

EVALUATION OF THE UNCERTAINTY IN THE REALIZATION OF THE PLTS-2000 AT NMi EVALUATION OF THE UNCERTAINTY IN THE REALIZATION OF THE PLTS-000 AT NMi A. Peruzzi and M.J. de Groot Nmi van Swinden Laboratorium, Delft, The Netherlands 1 Abstract The Provisional Low Temperature Scale

More information

Transparent Ohmic Contacts to N-polar n-type GaN

Transparent Ohmic Contacts to N-polar n-type GaN Transparent Ohmic Contacts to N-polar n-type GaN M. A. Hopkins a, S. Thornley b, J. Dutson b, G. Christmann c, S. Nicolay c, I. Marozau c, O. Sereda c, J. Niemela d, M. Creatore d, J. Ellis e, D.W.E. Allsopp

More information

EUVL Readiness for High Volume Manufacturing

EUVL Readiness for High Volume Manufacturing EUVL Readiness for High Volume Manufacturing Britt Turkot Intel Corporation Outline Exposure Tool Progress Power Availability Intel demo results Reticle Defectivity Pellicle Materials Conclusion 2 Source

More information

Image Degradation from Surface Scatter in EUV Optics

Image Degradation from Surface Scatter in EUV Optics Image Degradation from Surface Scatter in EUV Optics D. P. Gaines, T. P. Daly, D. G. Steams, B. LaFontaine, D. W. Sweeney, D. Fuchs This paper was prepared for submittal to the Optical Society of America

More information

Déposition séléctive le rêve reviens

Déposition séléctive le rêve reviens Willkommen Welcome Bienvenue Déposition séléctive le rêve reviens Patrik Hoffmann Michael Reinke, Yury Kuzminykh Ivo Utke, Carlos Guerra-Nunez, Ali Dabirian, Xavier Multone, Tristan Bret, Estelle Halary-Wagner,

More information

ASTP The Industries that need Analytical Sciences

ASTP The Industries that need Analytical Sciences Advancing Dutch Analytical Sciences The Industries that need Analytical Sciences 24, 25 and 28 August 2015, 2.5 days HAN, Laan van Scheut 2, Nijmegen Content This two-and-a-half day course will inform

More information

Unraveling the Carrier Dynamics of BiVO 4 : A Femtosecond to Microsecond Transient Absorption Study

Unraveling the Carrier Dynamics of BiVO 4 : A Femtosecond to Microsecond Transient Absorption Study Supporting Information to Unraveling the arrier Dynamics of ivo 4 : A Femtosecond to Microsecond Transient Absorption Study Janneke Ravensbergen A, Fatwa F. Abdi, Judith H. van Santen A, Raoul N. Frese

More information

ALIGNMENT ACCURACY IN A MA/BA8 GEN3 USING SUBSTRATE CONFORMAL IMPRINT LITHOGRAPHY (SCIL)

ALIGNMENT ACCURACY IN A MA/BA8 GEN3 USING SUBSTRATE CONFORMAL IMPRINT LITHOGRAPHY (SCIL) ALIGNMENT ACCURACY IN A MA/BA8 GEN3 USING SUBSTRATE CONFORMAL IMPRINT LITHOGRAPHY (SCIL) Robert Fader Fraunhofer Institute for Integrated Systems and Device Technology (IISB) Germany Ulrike Schömbs SUSS

More information