Carbon removal from trenches on EUV reticles

Size: px
Start display at page:

Download "Carbon removal from trenches on EUV reticles"

Transcription

1 Carbon removal from trenches on EUV reticles N.B. Koster a*, C.P.E.C. Geluk a, T.W. Versloot b, J.P.B. Janssen a, Y. Fleming c, T. Wirtz c a TNO, Stieltjesweg 1, 2628 CK Delft, The Netherlands; b ESA, Keplerlaan 1, Noordwijk, The Netherlands; c Department Science and Analysis of Materials (SAM), Centre de Recherche Public Gabriel Lippmann, 41 rue du Brill, L-4422 Belvaux, Luxembourg ABSTRACT We report on our investigation of dry cleaning of reticles with a microwave induced hydrogen plasma on dummy reticles. The dummy reticles were manufactured with 70 nm ALD grown TaN on a Ru surface and test structures were patterned with lines and spaces ranging between 250 and 400 nm. After processing the test structures were contaminated with e beam grown carbon and exposed in our plasma facility to remove the carbon with the aid of a hydrogen plasma. Analysis of the samples was performed with SEM/EDX and with SIMS-SPM to verify the complete removal of carbon from the bottom of the trench. Analysis showed that there are small traces of carbon still present on the samples. This can be contributed to contamination which has occurred during transport and storage or that the grown carbon has some edges which are higher due to localized high intensity in the focus of the e-beam. Keywords: EUV reticles, cleaning, plasma, SIMS-SPM 1. INTRODUCTION With the insertion of EUV HVM production being pushed back towards the10 or 7 nm node, the feature size on reticles is also decreasing towards less than 30 nm. Besides the increasing risk of particles becoming a killer defect at these critical dimensions, the contribution of EUV induced carbon in the trenches can seriously affect the optical performance of the reticle. For the particles contamination the discussion on the possibility of a pellicle has recently been started again as a possible protective means, however this will not solve the carbon contamination problem. With EUV powers needed for the HVM production of the X nm node growing towards 1 kw, the growth of carbon will also increase. Even with a pellicle, residual hydrocarbons will be present in between the space of the reticle and pellicle. Although wet cleaning has been reported as successful, it can be questioned if the liquid can enter to the bottom of the trench to remove the carbon when he size of the trench approaches 30 nm with an aspect ratio of 3 to 5. An overview of a number of possible cleaning techniques has been described by L. Scaccaborozzi et al [1], although alternatives have not been fully examined. With a pellicle mounted on the reticle, wet cleaning is impossible and either the pellicle has to be removed or a dry technique is necessary. For a cleaning technique with the pellicle still on the reticle the active species have to be flushed in the space between reticle and pellicle and the waste of the cleaning process has to be gaseous in order to be removed. Plasma cleaning is a technique where the active and waste products are gaseous and depending on the active species (O 2 or H 2 ) the carbon is converted in either CO 2 or CH 4 which are both gasses and can be pumped away. We have examined the removal of carbon in trenches of dummy reticles using our Shielded Microwave Induced Remote Plasma (SMIRP) with H 2 as active gas. In our previous work, we already have shown that EUV mirrors and reticles can be cleaned without any damage or loss of reflectivity [2]. 2.1 Sample manufacturing 2. EXPERIMENTAL As the availability of EUV reticles, which can be cut into pieces for analysis, is very scarce we made our own dummy structures on Si wafers. The test structure consists of dense lines and spaces arranged in a square as shown in Figure 1. One test structure consists of four smaller squares with different spacings, for this case we used 250, 300, 350 and 400 nm lines and spaces. Although this is not the dense spacing as can be expected on a real reticle we had to limit to these *Norbert.koster@tno.nl; phone ; Photomask Technology 2014, edited by Paul W. Ackmann, Naoya Hayashi, Proc. of SPIE Vol. 9235, SPIE CCC code: X/14/$18 doi: / Proc. of SPIE Vol

2 sizes otherwise it would not have been possible to do the SIM-SPM analysis in the bottom of the trench due to the AFM tip size, but smaller dimensions down to 90 nm have also been fabricated. A 50 nm thick Ru layer was sputter coated on the wafers and checked for layer thickness and uniformity. Next a TaN layer with an approximate layer thickness of 70 nm was deposited using Atomic Layer Deposition (ALD) and 200 nm of ZEP520 resist was spin coated on the wafer. -- Figure 1: GDS drawing of the test structure, with a) full structure showing the four squares filled with lines and spaces and b) single structure with the lines and spaces. A I B i o= ev 'Spa Mgr ON wo tep W :%. x fa ieser.n alo at a loo +.. Y O. WO 17 G d/ 345 got Mr t.h Jr FE ami.. Figure 2: SEM images of the gratings after fabrication, dimensions are a) 238 nm, b) 297nm, c) 341 nm and d) 388 nm. Proc. of SPIE Vol

3 The structures were written in the resist with the aid of an e-beam pattern generator and developed. Finally the TaN was etched with RIE Chlorine plasma and the remaining part of the resist was stripped using a RIE Oxygen plasma. SEM- EDX analysis was used to verify the presence of TaN on lines and Ru in the trenches and the absence of carbon caused by possible remaining resist. SEM was also used to verify the dimensions of the gratings, see Figure 2, the measured dimensions for a line and space are: 238, 297, 341 and 388 nm. 2.2 Sample contamination After fabrication of the samples, the latter were contaminated with carbon using our carbon growth setup. This setup consists of an Ultra High Vacuum chamber equipped with a low energy e-gun from Kimball Physics and a gas supply for dodecane with a leak valve for setting pressure, see Figure 3. The samples are mounted in the vertical plane and alignment is verified using a fluorescent target. After focusing the e-gun produces a spot of about 1 mm 2 at an electron energy of 1 kev. An amorphous carbon layer is formed during illumination with a back ground pressure of 1*10-6 mbar of dodecane. The growth rate of carbon in the setup is approximately 2 nm/hour and was verified with interferometry. The samples were exposed for 4 hours in the system leaving a carbon layer of approximately 10 nm. The focus does contain some hot spots and the carbon is not completely uniform. From previous experiments we have learned that if the carbon layer becomes relatively thick (>30 nm) the density increases in the bottom part of the layer and is harder to remove, therefore we limited ourselves to a layer of about 10 nm. In total 3 samples were prepared with an average carbon thickness of about 10 to 25 nm. mm nm o.o MM r -1.0 I I I I Figure 3: a) carbon growth setup with on the left side the e-gun b) typical profile of a grown carbon spot measured with interferometry. 2.3 Sample cleaning After contamination with carbon two samples were cleaned to different degrees of cleanliness. For the cleaning our Plasma Analysis and Test Setup (PATS) was used, see Figure 4. The cleaning was performed using H 2 as active gas, settings for the source were 1000 W microwave power, 50% duty cycle with on pulse of 500 µs and a pressure of 0.2 mbar of H 2. Samples were mounted at a distance of 6 cm from the source and the cleaning runs were time maximized to min to prevent over heating of the sample. Sample DII-3/1 was cleaned for a total time of 30 min removing about 5 nm of the total contamination. Sample DII-3/5 was cleaned for a total cleaning time of 120 min, which should remove all of the contamination. The PATS has a total of four MW sources capable of running simultaneously or in a timed pulsed mode. The last mode has the advantage of having a better penetration into small crevices and high aspect ratio trenches. For our experiments this mode was not used and only one source was used for the cleaning. The PATS has also capabilities of plasma Proc. of SPIE Vol

4 analysis with a Langmuir probe, retarding field analyzer and optical emission spectroscopy. For small samples a sample holder in the center of the chamber is available and at that position the sample can be monitored using a long focal length ellipsometer. Figure 4: Plasma cleaner PATS with at the left side the interior showing a SMIRP cavity in the lower left corner. 3. SAMPLE ANALYSIS In total 4 samples were send to Luxembourg for analysis: A reference sample, which had seen no contamination and no cleaning only processing for the structures. Sample DII-3/6 was contaminated with carbon but had seen no cleaning. Sample DII-3/1 had been cleaned for 30 minutes removing about 5 nm of the contamination and sample DII-3/5 which had been cleaned for 120 min removing about all of the carbon contamination. Sample DII-3/1 has not been analyzed, due to time constraints. Analysis was performed using Secondary Ion Mass Spectrometry (SIMS) and Atomic Force Microscopy combined into one single system [3]. SIMS measurements were performed on a Cameca NanoSIMS 50 instrument in the negative secondary ion mode using a 16 kev Cs+ primary beam. Measurements consisted of 60 or 70 consecutive scans with a dwell time of 10 ms/pixel respectively and a primary ion beam current on the sample of 0.5 pa. The recorded secondary ion signals were 16O-, 12C- 12C2- and 28Si-. For the AFM analysis High Aspect Ratio tips with a spike length of 2 µm (HARTA0-2) from AppNano were used. In Figure 5 the AFM line scans are shown for the 3 samples (ref, contaminated and fully cleaned). The scans are taken on the structure with 300 nm lines and spaces. From the scan of the reference sample it can be observed that the bottom of the trench is not fully resolved by the AFM tip and that the height of the TaN layer is at least 50 nm and probably more. The line scan of sample DII-3/6 (CNC in figure) shows that the depth of the trenches has been greatly reduced to about 25 nm and that the top of the line has an added sharp feature. This indicates that the carbon layer has a much greater height than anticipated and that the trench is almost fully filled with carbon. The line scan of sample DII-3/5, which had been cleaned for full carbon removal, shows that the depth of the trench has been restored to at least 50 nm. The top of the line still shows a remainder of the features that were clearly visible in the carbon coated sample. This again indicates that not all carbon was removed and that the layer is thicker than anticipated. Proc. of SPIE Vol

5 60 - Ref#1 CNC n 60- E t I Linescan (µm) Linescan (pm) Figure 5: AFM line scans of the 300 nm lines and spacing structure of the reference sample, carbon coated sample (CNC) and fully cleaned sample (CC). Figure 6 shows the sputter depth profiles of the three analyzed samples. On all samples the depth profile was determined on top of the line (ridge) and in the bottom of the trench. For each profile several slices were executed until the Si wafer substrate was reached. This takes typically 30 slices before the Si signal stabilizes and all the C, TaN and Ru has been sputtered away. Ref trench Di i-316 trench DNI-3/5 trench NO t 1,EN Z0 Ref ridge DII=3/6 ridge 9,E+00 D11_3/5 ridge 10 2C v v ZO 1,EN Figure 6: SIMS depth profiles of the reference sample (Ref), the contaminated sample (DII-3/6) and the cleaned sample (DII-3/5) for the trench and the lines (ridge). In all figures, the detected secondary ion signals were normalized to the average Si- bulk signal. The detection of the TaN- signal was only limited and is not shown. The horizontal axis is the number of the raster slice. The reference sample shows some oxygen on top of the surface, both in the trench as in the ridge, it takes about 12 slices before the Si starts to show up in the SIMS spectra and with 30 slices the Si starts to peak indicating that the wafer has been reached by the sputter gun. The contaminated sample clearly shows carbon on top of the surface and it takes about 6 slices to cut through the carbon layer. It is interesting to see that the Si signal of the contaminated sample spikes together with the carbon peak. The completely cleaned sample shows a restored spectrum when compared to the reference, with a similar number of slices needed to reach the wafer. Also the oxygen peak on the surface has been restored and the Si peak which is visible in the contaminated sample in the first slices has disappeared. The carbon signal Proc. of SPIE Vol

6 in the trench of the cleaned sample however continuous for much more slices. This could be an indication that a small amount of carbon may still be present as also could be concluded from the AFM scans. The amount of carbon however is still very small. 4. CONCLUSION In this experimental work we have shown that it is possible to remove carbon inside the trenches of a EUV reticle, although a very small amount of carbon may still be present. This could be caused by a much thicker edge layer of carbon to begin with or by contamination during transport. The signal for oxygen and Si was restored to its original shape and value. This technique using plasma with H 2 as active species seems to be a potential candidate for cleaning of reticles even with the pellicle still attached. The SIMS-SPM system is a powerful tool for verifying the presence of carbon in trenches in combination with the AFM images also dimensional changes can be monitored. ACKNOWLEDGEMENTS We wish to thank Hans van den Berg for preparing the samples and doing the SEM-EDX analysis. This work was made possible by funding of the Dutch national NanoNext program. REFERENCES [1] L. Scaccabarozzi, N.A. Lammers, R. Moors, and V. Banine, Particle Cleaning of EUV Reticles, Journal of Adhesion Science & Technology, 23 (2009) [2] N.B. Koster et al, New developments in cleaning of EUVL mirrors and reticles, EUVL symposium 2009, Praque, [3] T. Wirtz, Y. Fleming, M. Gerard, U. Gysin, T. Glatzel, E. Meyer, U. Wegmann, U. Maier, A.H. Odriozola, and D. Uehli, Design and performance of a combined secondary ion mass spectrometry-scanning probe microscopy instrument for high sensitivity and high-resolution elemental three-dimensional analysis, Review of Scientific Instruments, 83 (2012) Proc. of SPIE Vol

Development status of back-end process for UV-NIL template fabrication

Development status of back-end process for UV-NIL template fabrication Development status of back-end process for UV-NIL template fabrication Yuichi Inazuki, Kimio Itoh, Sho Hatakeyama, Kouichirou Kojima, Masaaki Kurihara, Yasutaka Morikawa, Hiroshi Mohri and Naoya Hayashi

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

Sciences and Analyses of Materials Department Surface Treatment Unit Centre de Recherche Public Gabriel Lippmann Belvaux - Luxembourg

Sciences and Analyses of Materials Department Surface Treatment Unit Centre de Recherche Public Gabriel Lippmann Belvaux - Luxembourg Sciences and Analyses of Materials Department Surface Treatment Unit Centre de Recherche Public Gabriel Lippmann Belvaux - Luxembourg Surface Treatment Unit, UTS BRIEF history : 2006 2009 : launched of

More information

Application of the GD-Profiler 2 to the PV domain

Application of the GD-Profiler 2 to the PV domain Application of the GD-Profiler 2 to the PV domain GD Profiler 2 RF GDOES permits to follow the distribution of the elements as function of depth. This is an ultra fast characterisation technique capable

More information

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu X-ray Photoelectron Spectroscopy Introduction Qualitative analysis Quantitative analysis Charging compensation Small area analysis and XPS imaging

More information

Analysis of carbon contamination on EUV mask using CSM/ ICS

Analysis of carbon contamination on EUV mask using CSM/ ICS Analysis of carbon contamination on EUV mask using CSM/ ICS Jae Uk Lee 1, Chang Young Jeong 1, Sangsul Lee 1, Jong Gul Doh 1,, Dong Geun Lee 2, Seong-Sue Kim 2, Han-Ku Cho 2, Seung-yu Rah 3 and Jinho Ahn

More information

Cleaning of Silicon-Containing Carbon Contamination

Cleaning of Silicon-Containing Carbon Contamination RC-P4 Cleaning of Silicon-Containing Carbon Contamination Toshihisa Anazawa, Noriaki Takagi, Osamu Suga, Iwao Nishiyama MIRAI-Semiconductor Leading Edge Technologies, Inc. Koichi Yamawaki, Hirotsugu Yano,

More information

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures Polarized white light from hybrid organic/iii-nitrides grating structures M. Athanasiou, R. M. Smith, S. Ghataora and T. Wang* Department of Electronic and Electrical Engineering, University of Sheffield,

More information

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur Nova 600 NanoLab Dual beam Focused Ion Beam system @ IITKanpur Dual Beam Nova 600 Nano Lab From FEI company (Dual Beam = SEM + FIB) SEM: The Electron Beam for SEM Field Emission Electron Gun Energy : 500

More information

Final exam: take-home part

Final exam: take-home part Final exam: take-home part! List five things that can be done to improve this class. Be specific; give much detail.! (You will be penalized only for insulting comments made for no benefit; you will not

More information

A short pulsed laser cleaning system for EUVL tool

A short pulsed laser cleaning system for EUVL tool A short pulsed laser cleaning system for EUVL tool Masami Yonekawa, Hisashi Namba and Tatsuya Hayashi Nanotechnology & Advanced System Research Laboratories, Canon inc. 23-10, Kiyohara-Kogyodanchi, Utsunomiya-shi,

More information

Evaluation of Cleaning Methods for Multilayer Diffraction Gratings

Evaluation of Cleaning Methods for Multilayer Diffraction Gratings Evaluation of Cleaning Methods for Multilayer Diffraction Gratings Introduction Multilayer dielectric (MLD) diffraction gratings are essential components for the OMEGA EP short-pulse, high-energy laser

More information

Auger Electron Spectroscopy

Auger Electron Spectroscopy Auger Electron Spectroscopy Auger Electron Spectroscopy is an analytical technique that provides compositional information on the top few monolayers of material. Detect all elements above He Detection

More information

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial Marks for EUV mask blanks Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial marks are laser scribed on 200 mm wafers to enable defect registration on metrology

More information

Reduced preferential sputtering of TiO 2 (and Ta 2 O 5 ) thin films through argon cluster ion bombardment.

Reduced preferential sputtering of TiO 2 (and Ta 2 O 5 ) thin films through argon cluster ion bombardment. NATIOMEM Reduced preferential sputtering of TiO 2 (and Ta 2 O 5 ) thin films through argon cluster ion bombardment. R. Grilli *, P. Mack, M.A. Baker * * University of Surrey, UK ThermoFisher Scientific

More information

ToF-SIMS or XPS? Xinqi Chen Keck-II

ToF-SIMS or XPS? Xinqi Chen Keck-II ToF-SIMS or XPS? Xinqi Chen Keck-II 1 Time of Flight Secondary Ion Mass Spectrometry (ToF-SIMS) Not ToF MS (laser, solution) X-ray Photoelectron Spectroscopy (XPS) 2 3 Modes of SIMS 4 Secondary Ion Sputtering

More information

Instrumentation and Operation

Instrumentation and Operation Instrumentation and Operation 1 STM Instrumentation COMPONENTS sharp metal tip scanning system and control electronics feedback electronics (keeps tunneling current constant) image processing system data

More information

CHARACTERIZATION of NANOMATERIALS KHP

CHARACTERIZATION of NANOMATERIALS KHP CHARACTERIZATION of NANOMATERIALS Overview of the most common nanocharacterization techniques MAIN CHARACTERIZATION TECHNIQUES: 1.Transmission Electron Microscope (TEM) 2. Scanning Electron Microscope

More information

EUVL Optics lifetime and contamination. European Update

EUVL Optics lifetime and contamination. European Update EUVL Optics life and contamination European Update EUVL Symposium 27 TWG Optics Contamination and Life Sapporo Bas Wolschrijn, TNO 1/12 -.5-1 -2-1% productivity 2 4 8 1. EUV intensity [au].5. -.5-1. -2..

More information

X-ray Photoelectron Spectroscopy/ Electron spectroscopy for chemical analysis (ESCA), By Francis Chindeka

X-ray Photoelectron Spectroscopy/ Electron spectroscopy for chemical analysis (ESCA), By Francis Chindeka X-ray Photoelectron Spectroscopy/ Electron spectroscopy for chemical analysis (ESCA), By Francis Chindeka X-ray photoelectron spectroscopy (XPS) or Electron spectroscopy for chemical analysis (ESCA), Surface

More information

Dual Beam Helios Nanolab 600 and 650

Dual Beam Helios Nanolab 600 and 650 Dual Beam Helios Nanolab 600 and 650 In the Clean Room facilities of the INA LMA, several lithography facilities permit to pattern structures at the micro and nano meter scale and to create devices. In

More information

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source 1 Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source D. Nakamura, T. Akiyama, K. Tamaru, A. Takahashi* and T. Okada Graduate School of Information Science and Electrical Engineering,

More information

Gaetano L Episcopo. Scanning Electron Microscopy Focus Ion Beam and. Pulsed Plasma Deposition

Gaetano L Episcopo. Scanning Electron Microscopy Focus Ion Beam and. Pulsed Plasma Deposition Gaetano L Episcopo Scanning Electron Microscopy Focus Ion Beam and Pulsed Plasma Deposition Hystorical background Scientific discoveries 1897: J. Thomson discovers the electron. 1924: L. de Broglie propose

More information

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES Entegris Edit -SEMI Draft Document (10-11-2006 Revision) NEW STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE 6 INCH EUV RETICLES This specification draft

More information

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis Dr. E. A. Leone BACKGRUND ne trend in the electronic packaging industry

More information

Hiden SIMS Secondary Ion Mass Spectrometers. Analysers for surface, elemental and molecular analysis

Hiden SIMS Secondary Ion Mass Spectrometers. Analysers for surface, elemental and molecular analysis Hiden SIMS Secondary Ion Mass Spectrometers Analysers for surface, elemental and molecular analysis vacuum analysis surface science plasma diagnostics gas analysis SIMS Versatility SIMS is a high sensitivity

More information

Surface Analytical Techniques for Analysis of Coatings Mary Jane Walzak, Mark Biesinger and Brad Kobe The University of Western Ontario, Surface

Surface Analytical Techniques for Analysis of Coatings Mary Jane Walzak, Mark Biesinger and Brad Kobe The University of Western Ontario, Surface Surface Analytical Techniques for Analysis of Coatings Mary Jane Walzak, Mark Biesinger and Brad Kobe The University of Western Ontario, Surface Science Western 999 Collip Circle, Room LL31, London, ON

More information

Applications of XPS, AES, and TOF-SIMS

Applications of XPS, AES, and TOF-SIMS Applications of XPS, AES, and TOF-SIMS Scott R. Bryan Physical Electronics 1 Materials Characterization Techniques Microscopy Optical Microscope SEM TEM STM SPM AFM Spectroscopy Energy Dispersive X-ray

More information

PHI 5000 Versaprobe-II Focus X-ray Photo-electron Spectroscopy

PHI 5000 Versaprobe-II Focus X-ray Photo-electron Spectroscopy PHI 5000 Versaprobe-II Focus X-ray Photo-electron Spectroscopy The very basic theory of XPS XPS theroy Surface Analysis Ultra High Vacuum (UHV) XPS Theory XPS = X-ray Photo-electron Spectroscopy X-ray

More information

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source 3rd International EUVL Symposium NOVEMBER 1-4, 2004 Miyazaki, Japan Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source H. Tanaka, A. Matsumoto, K. Akinaga, A. Takahashi

More information

Secondary ion mass spectrometry (SIMS)

Secondary ion mass spectrometry (SIMS) Secondary ion mass spectrometry (SIMS) ELEC-L3211 Postgraduate Course in Micro and Nanosciences Department of Micro and Nanosciences Personal motivation and experience on SIMS Offers the possibility to

More information

Auger Electron Spectroscopy Overview

Auger Electron Spectroscopy Overview Auger Electron Spectroscopy Overview Also known as: AES, Auger, SAM 1 Auger Electron Spectroscopy E KLL = E K - E L - E L AES Spectra of Cu EdN(E)/dE Auger Electron E N(E) x 5 E KLL Cu MNN Cu LMM E f E

More information

Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008

Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008 Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008 Richard London rlondon@llnl.gov Workshop on Interaction of Free Electron Laser Radiation with Matter Hamburg This work

More information

Toward Clean Suspended CVD Graphene

Toward Clean Suspended CVD Graphene Electronic Supplementary Material (ESI) for RSC Advances. This journal is The Royal Society of Chemistry 2016 Supplemental information for Toward Clean Suspended CVD Graphene Alexander Yulaev 1,2,3, Guangjun

More information

Vapor-Phase Cutting of Carbon Nanotubes Using a Nanomanipulator Platform

Vapor-Phase Cutting of Carbon Nanotubes Using a Nanomanipulator Platform Vapor-Phase Cutting of Carbon Nanotubes Using a Nanomanipulator Platform MS&T 10, October 18, 2010 Vladimir Mancevski, President and CTO, Xidex Corporation Philip D. Rack, Professor, The University of

More information

SCANNING-PROBE TECHNIQUES OR APPARATUS; APPLICATIONS OF SCANNING-PROBE TECHNIQUES, e.g. SCANNING PROBE MICROSCOPY [SPM]

SCANNING-PROBE TECHNIQUES OR APPARATUS; APPLICATIONS OF SCANNING-PROBE TECHNIQUES, e.g. SCANNING PROBE MICROSCOPY [SPM] G01Q SCANNING-PROBE TECHNIQUES OR APPARATUS; APPLICATIONS OF SCANNING-PROBE TECHNIQUES, e.g. SCANNING PROBE MICROSCOPY [SPM] Scanning probes, i.e. devices having at least a tip of nanometre sized dimensions

More information

Application of NanoSIMS on Organo Mineral Structures

Application of NanoSIMS on Organo Mineral Structures Application of NanoSIMS on Organo Mineral Structures Carmen Höschen*, Carsten W. Mueller, Katja Heister, Johann Lugmeier and Ingrid Kögel-Knabner Lehrstuhl für Bodenkunde, TU München, 85350 Freising-Weihenstephan,

More information

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Uzodinma Okoroanyanwu, 1 Aiqin Jiang, 2 Kornelia Dittmar, 3 Torsten Fahr, 3 Thomas Laursen, 2 Obert Wood, 1 Kevin Cummings, 2 Christian

More information

ASML Approach to Euv Reticle Handling

ASML Approach to Euv Reticle Handling ASML Approach to Euv Reticle Handling Mask Workshop Antwerp Henk Meijer 3-October-2003 / Slide 1 Presentation Agenda Unique features of EUV reticles Contamination

More information

GRAPHENE ON THE Si-FACE OF SILICON CARBIDE USER MANUAL

GRAPHENE ON THE Si-FACE OF SILICON CARBIDE USER MANUAL GRAPHENE ON THE Si-FACE OF SILICON CARBIDE USER MANUAL 1. INTRODUCTION Silicon Carbide (SiC) is a wide band gap semiconductor that exists in different polytypes. The substrate used for the fabrication

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

Quantum Technology: Supplying the Picks and Shovels

Quantum Technology: Supplying the Picks and Shovels Quantum Technology: Supplying the Picks and Shovels Dr John Burgoyne Quantum Control Engineering: Mathematical Solutions for Industry Open for Business Event 7 th August 2014, 12.30-17.00, Isaac Newton

More information

Evaluation at the intermediate focus for EUV Light Source

Evaluation at the intermediate focus for EUV Light Source Evaluation at the intermediate focus for EUV Light Source Takashi Suganuma, Georg Soumagne, Masato Moriya, Tamotsu Abe, Akira Sumitani, Akira Endo Extreme Ultraviolet Lithography System Development Association

More information

SUPPLEMENTARY MATERIALS FOR PHONON TRANSMISSION COEFFICIENTS AT SOLID INTERFACES

SUPPLEMENTARY MATERIALS FOR PHONON TRANSMISSION COEFFICIENTS AT SOLID INTERFACES 148 A p p e n d i x D SUPPLEMENTARY MATERIALS FOR PHONON TRANSMISSION COEFFICIENTS AT SOLID INTERFACES D.1 Overview The supplementary information contains additional information on our computational approach

More information

Segregated chemistry and structure on (001) and (100) surfaces of

Segregated chemistry and structure on (001) and (100) surfaces of Supporting Information Segregated chemistry and structure on (001) and (100) surfaces of (La 1-x Sr x ) 2 CoO 4 override the crystal anisotropy in oxygen exchange kinetics Yan Chen a, Helena Téllez b,c,

More information

Auger Electron Spectroscopy (AES)

Auger Electron Spectroscopy (AES) 1. Introduction Auger Electron Spectroscopy (AES) Silvia Natividad, Gabriel Gonzalez and Arena Holguin Auger Electron Spectroscopy (Auger spectroscopy or AES) was developed in the late 1960's, deriving

More information

Check the LCLS Project website to verify 2 of 6 that this is the correct version prior to use.

Check the LCLS Project website to verify 2 of 6 that this is the correct version prior to use. 1. Introduction The XTOD Offset Systems are designed to spatially separate the useful FEL radiation from high-energy spontaneous radiation and Bremsstrahlung γ-rays. These unwanted radiations are generated

More information

Particle Analysis of Environmental Swipe Samples

Particle Analysis of Environmental Swipe Samples IAEA-SM-367/10/07 Particle Analysis of Environmental Swipe Samples D. DONOHUE, S. VOGT, A. CIURAPINSKI, F. RUEDENAUER, M. HEDBERG Safeguards Analytical Laboratory International Atomic Energy Agency Vienna,

More information

Technical description of photoelectron spectrometer Escalab 250Xi

Technical description of photoelectron spectrometer Escalab 250Xi Technical description of photoelectron spectrometer Escalab 250Xi Resource center Physical Methods of Surface Investigations 2014 Table of contents Common description 3 Analytical chamber 8 Preparation

More information

Surface Properties of EUVL. Laser Shock Cleaning (LSC)

Surface Properties of EUVL. Laser Shock Cleaning (LSC) 2007 International EUVL Symposium, Japan Surface Properties of EUVL Mask Layers after High Energy Laser Shock Cleaning (LSC) Tae-Gon Kim, Young-Sam Yoo, Il-Ryong Son, Tae-Geun Kim *, Jinho Ahn *, Jong-Myoung

More information

A. Optimizing the growth conditions of large-scale graphene films

A. Optimizing the growth conditions of large-scale graphene films 1 A. Optimizing the growth conditions of large-scale graphene films Figure S1. Optical microscope images of graphene films transferred on 300 nm SiO 2 /Si substrates. a, Images of the graphene films grown

More information

EUV Reflectivity measurements on Acktar Sample Magic Black

EUV Reflectivity measurements on Acktar Sample Magic Black Report EUV Reflectivity measurements on Acktar Sample Magic Black S. Döring, Dr. K. Mann Laser-Laboratorium Göttingen e.v. October 28, 2011 Contents 1 Introduction 3 2 Setup 3 3 Measurements 4 4 Conclusion

More information

CHANGES OF SURFACES OF SOLAR BATTERIES ELEMENTS OF ORBITAL STATION MIR AS A RESULT OF THEIR PROLONGED EXPOSITION ON LOW- EARTH ORBIT (LEO)

CHANGES OF SURFACES OF SOLAR BATTERIES ELEMENTS OF ORBITAL STATION MIR AS A RESULT OF THEIR PROLONGED EXPOSITION ON LOW- EARTH ORBIT (LEO) CHANGES OF SURFACES OF SOLAR BATTERIES ELEMENTS OF ORBITAL STATION MIR AS A RESULT OF THEIR PROLONGED EXPOSITION ON LOW- EARTH ORBIT (LEO) V. E. Skurat (1), I. O. Leipunsky (1), I. O. Volkov (1), P. A.

More information

Characterization of Secondary Emission Materials for Micro-Channel Plates. S. Jokela, I. Veryovkin, A. Zinovev

Characterization of Secondary Emission Materials for Micro-Channel Plates. S. Jokela, I. Veryovkin, A. Zinovev Characterization of Secondary Emission Materials for Micro-Channel Plates S. Jokela, I. Veryovkin, A. Zinovev Secondary Electron Yield Testing Technique We have incorporated XPS, UPS, Ar-ion sputtering,

More information

The Removal of Nanoparticles from Nanotrenches Using Megasonics

The Removal of Nanoparticles from Nanotrenches Using Megasonics NSF Center for Micro and Nanoscale Contamination Control The Removal of Nanoparticles from Nanotrenches Using Megasonics Pegah Karimi 1, Tae Hoon Kim 1, Ahmed A. Busnaina 1 and Jin Goo Park 2 1 NSF Center

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

How to distinguish EUV photons from out-of-band photons

How to distinguish EUV photons from out-of-band photons How to distinguish EUV photons from out-of-band photons Thesis submitted in partial fulfillment of the requirements for the degree of Master of Science in Physics Author: Student ID: Supervisors: 2 nd

More information

Imaging Methods: Scanning Force Microscopy (SFM / AFM)

Imaging Methods: Scanning Force Microscopy (SFM / AFM) Imaging Methods: Scanning Force Microscopy (SFM / AFM) The atomic force microscope (AFM) probes the surface of a sample with a sharp tip, a couple of microns long and often less than 100 Å in diameter.

More information

MS482 Materials Characterization ( 재료분석 ) Lecture Note 5: RBS

MS482 Materials Characterization ( 재료분석 ) Lecture Note 5: RBS 2016 Fall Semester MS482 Materials Characterization ( 재료분석 ) Lecture Note 5: RBS Byungha Shin Dept. of MSE, KAIST 1 Course Information Syllabus 1. Overview of various characterization techniques (1 lecture)

More information

We published the text from the next page.

We published the text from the next page. Title:Shedding light on EUV mask inspection Authors:Kazunori Seki, Karen Badger, Emily Gallagher, Toshio Konishi, Gregory McIntyre Publisher:Photomask Japan 2012(SPIE) Citation:Kazunori Seki, Karen Badger,

More information

MSE 321 Structural Characterization

MSE 321 Structural Characterization Auger Spectroscopy Auger Electron Spectroscopy (AES) Scanning Auger Microscopy (SAM) Incident Electron Ejected Electron Auger Electron Initial State Intermediate State Final State Physical Electronics

More information

Resist-outgas testing and EUV optics contamination at NIST

Resist-outgas testing and EUV optics contamination at NIST 1 2012 International Workshop on EUVL, Maui, HI Resist-outgas testing and EUV optics contamination at NIST Shannon Hill, Nadir Faradzhev, Charles Tarrio, Steve Grantham, Lee Richter and Tom Lucatorto National

More information

Supplementary Figures

Supplementary Figures Supplementary Figures Supplementary Figure S1. The effect of window size. The phonon MFP spectrum of intrinsic c-si (T=300 K) is shown for 7-point, 13-point, and 19-point windows. Increasing the window

More information

TECHNIC A L WORK ING GROUP ITWG GUIDELINE ON SECONDARY ION MASS SPECTROMETRY (SIMS)

TECHNIC A L WORK ING GROUP ITWG GUIDELINE ON SECONDARY ION MASS SPECTROMETRY (SIMS) NUCLE A R FORENSIC S INTERN ATION A L TECHNIC A L WORK ING GROUP ITWG GUIDELINE ON SECONDARY ION MASS SPECTROMETRY (SIMS) EXECUTIVE SUMMARY Secondary Ion Mass Spectrometry (SIMS) is used for elemental

More information

Overview of EUV Lithography and EUV Optics Contamination

Overview of EUV Lithography and EUV Optics Contamination Accelerating the next technology revolution Overview of EUV Lithography and EUV Optics Contamination Andrea Wüest NIST Contamination WS Gaithersburg, MD June 2, 2009 Copyright 2008 SEMATECH, Inc. SEMATECH,

More information

Set-up for ultrafast time-resolved x-ray diffraction using a femtosecond laser-plasma kev x-ray-source

Set-up for ultrafast time-resolved x-ray diffraction using a femtosecond laser-plasma kev x-ray-source Set-up for ultrafast time-resolved x-ray diffraction using a femtosecond laser-plasma kev x-ray-source C. Blome, K. Sokolowski-Tinten *, C. Dietrich, A. Tarasevitch, D. von der Linde Inst. for Laser- and

More information

Surface and Interface Characterization of Polymer Films

Surface and Interface Characterization of Polymer Films Surface and Interface Characterization of Polymer Films Jeff Shallenberger, Evans Analytical Group 104 Windsor Center Dr., East Windsor NJ Copyright 2013 Evans Analytical Group Outline Introduction to

More information

Secondaryionmassspectrometry

Secondaryionmassspectrometry Secondaryionmassspectrometry (SIMS) 1 Incident Ion Techniques for Surface Composition Analysis Mass spectrometric technique 1. Ionization -Electron ionization (EI) -Chemical ionization (CI) -Field ionization

More information

Thomas Schwarz-Selinger. Max-Planck-Institut for Plasmaphysics, Garching Material Science Division Reactive Plasma Processes

Thomas Schwarz-Selinger. Max-Planck-Institut for Plasmaphysics, Garching Material Science Division Reactive Plasma Processes Max-Planck-Institut für Plasmaphysik Thomas Schwarz-Selinger Max-Planck-Institut for Plasmaphysics, Garching Material Science Division Reactive Plasma Processes personal research interests / latest work

More information

MS482 Materials Characterization ( 재료분석 ) Lecture Note 12: Summary. Byungha Shin Dept. of MSE, KAIST

MS482 Materials Characterization ( 재료분석 ) Lecture Note 12: Summary. Byungha Shin Dept. of MSE, KAIST 2015 Fall Semester MS482 Materials Characterization ( 재료분석 ) Lecture Note 12: Summary Byungha Shin Dept. of MSE, KAIST 1 Course Information Syllabus 1. Overview of various characterization techniques (1

More information

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process John C. Lam, Alexander Gray n&k Technology, Inc., Santa Clara, CA ABSTRACT Critical dimension (CD) metrology

More information

Déposition séléctive le rêve reviens

Déposition séléctive le rêve reviens Willkommen Welcome Bienvenue Déposition séléctive le rêve reviens Patrik Hoffmann Michael Reinke, Yury Kuzminykh Ivo Utke, Carlos Guerra-Nunez, Ali Dabirian, Xavier Multone, Tristan Bret, Estelle Halary-Wagner,

More information

Residual Metallic Contamination of. Transferred Chemical Vapor Deposited. Graphene

Residual Metallic Contamination of. Transferred Chemical Vapor Deposited. Graphene SUPPORTING MATERIAL Residual Metallic Contamination of Transferred Chemical Vapor Deposited Graphene Grzegorz Lupina, * Julia Kitzmann, Ioan Costina, Mindaugas Lukosius, Christian Wenger, Andre Wolff,

More information

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD Supplementary figure 1 Graphene Growth and Transfer Graphene PMMA FeCl 3 DI water Copper foil CVD growth Back side etch PMMA coating Copper etch in 0.25M FeCl 3 DI water rinse 1 st transfer DI water 1:10

More information

In-situ Ar Plasma Cleaning of Samples Prior to Surface Analysis

In-situ Ar Plasma Cleaning of Samples Prior to Surface Analysis In-situ Ar Plasma Cleaning of Samples Prior to Surface Analysis GE Global Research Vincent S. Smentkowski, Cameron Moore and Hong Piao 04GRC955, October 04 Public (Class ) Technical Information Series

More information

raw materials C V Mn Mg S Al Ca Ti Cr Si G H Nb Na Zn Ni K Co A B C D E F

raw materials C V Mn Mg S Al Ca Ti Cr Si G H Nb Na Zn Ni K Co A B C D E F Today s advanced batteries require a range of specialized analytical tools to better understand the electrochemical processes that occur during battery cycling. Evans Analytical Group (EAG) offers a wide-range

More information

AP5301/ Name the major parts of an optical microscope and state their functions.

AP5301/ Name the major parts of an optical microscope and state their functions. Review Problems on Optical Microscopy AP5301/8301-2015 1. Name the major parts of an optical microscope and state their functions. 2. Compare the focal lengths of two glass converging lenses, one with

More information

Extrel Application Note

Extrel Application Note Extrel Application Note Real-Time Plasma Monitoring and Detection of Trace H 2 O and HF Species in an Argon Based Plasma Jian Wei, 575 Epsilon Drive, Pittsburgh, PA 15238. (Presented at the 191st Electrochemical

More information

Simulation of Ion Beam Etching of Patterned Nanometer-scale Magnetic Structures for High-Density Storage Applications

Simulation of Ion Beam Etching of Patterned Nanometer-scale Magnetic Structures for High-Density Storage Applications Engineered Excellence A Journal for Process and Device Engineers Simulation of Ion Beam Etching of Patterned Nanometer-scale Magnetic Structures for High-Density Storage Applications Introduction Fabrication

More information

Direct-Write Deposition Utilizing a Focused Electron Beam

Direct-Write Deposition Utilizing a Focused Electron Beam Direct-Write Deposition Utilizing a Focused Electron Beam M. Fischer, J. Gottsbachner, S. Müller, W. Brezna, and H.D. Wanzenboeck Institute of Solid State Electronics, Vienna University of Technology,

More information

MSE 321 Structural Characterization

MSE 321 Structural Characterization Auger Spectroscopy Auger Electron Spectroscopy (AES) Scanning Auger Microscopy (SAM) Incident Electron Ejected Electron Auger Electron Initial State Intermediate State Final State Physical Electronics

More information

Critical Dimension Uniformity using Reticle Inspection Tool

Critical Dimension Uniformity using Reticle Inspection Tool Critical Dimension Uniformity using Reticle Inspection Tool b Mark Wylie, b Trent Hutchinson, b Gang Pan, b Thomas Vavul, b John Miller, b Aditya Dayal, b Carl Hess a Mike Green, a Shad Hedges, a Dan Chalom,

More information

MS482 Materials Characterization ( 재료분석 ) Lecture Note 5: RBS. Byungha Shin Dept. of MSE, KAIST

MS482 Materials Characterization ( 재료분석 ) Lecture Note 5: RBS. Byungha Shin Dept. of MSE, KAIST 2015 Fall Semester MS482 Materials Characterization ( 재료분석 ) Lecture Note 5: RBS Byungha Shin Dept. of MSE, KAIST 1 Course Information Syllabus 1. Overview of various characterization techniques (1 lecture)

More information

Advances in Back-side Via Etching of SiC for GaN Device Applications

Advances in Back-side Via Etching of SiC for GaN Device Applications Advances in Back-side Via Etching of SiC for GaN Device Applications Anthony Barker, Kevin Riddell, Huma Ashraf & Dave Thomas SPTS Technologies, Ringland Way, Newport NP18 2TA, UK, dave.thomas@spts.com,

More information

The Controlled Evolution of a Polymer Single Crystal

The Controlled Evolution of a Polymer Single Crystal Supporting Online Material The Controlled Evolution of a Polymer Single Crystal Xiaogang Liu, 1 Yi Zhang, 1 Dipak K. Goswami, 2 John S. Okasinski, 2 Khalid Salaita, 1 Peng Sun, 1 Michael J. Bedzyk, 2 Chad

More information

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma THE HARRIS SCIENCE REVIEW OF DOSHISHA UNIVERSITY, VOL. 56, No. 1 April 2015 Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf by S. Wolf Chapter 15 ALUMINUM THIN-FILMS and SPUTTER-DEPOSITION 2004 by LATTICE PRESS CHAPTER 15 - CONTENTS Aluminum Thin-Films Sputter-Deposition Process Steps Physics of Sputter-Deposition Magnetron-Sputtering

More information

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities Kavli Workshop for Journalists June 13th, 2007 CNF Cleanroom Activities Seeing nm-sized Objects with an SEM Lab experience: Scanning Electron Microscopy Equipment: Zeiss Supra 55VP Scanning electron microscopes

More information

Pre-characterization of a rhodium (111) single crystal for oxidation kinetics experiments

Pre-characterization of a rhodium (111) single crystal for oxidation kinetics experiments Pre-characterization of a rhodium (111) single crystal for oxidation kinetics experiments Katja Kustura, University of Zagreb, Croatia September 10, 2014 Abstract This report summarizes the project done

More information

Two-photon single-beam particle trapping of active micro-spheres

Two-photon single-beam particle trapping of active micro-spheres Two-photon single-beam particle trapping of active micro-spheres Dru Morrish, Xiaosong Gan and Min Gu * Centre for Mirco-Photonics, School of Biophysical Sciences and Electrical Engineering, Swinburne

More information

Chamber Development Plan and Chamber Simulation Experiments

Chamber Development Plan and Chamber Simulation Experiments Chamber Development Plan and Chamber Simulation Experiments Farrokh Najmabadi HAPL Meeting November 12-13, 2001 Livermore, CA Electronic copy: http://aries.ucsd.edu/najmabadi/talks UCSD IFE Web Site: http://aries.ucsd.edu/ife

More information

CARBON NANOSTRUCTURES SYNTHESIZED THROUGH GRAPHITE ETCHING

CARBON NANOSTRUCTURES SYNTHESIZED THROUGH GRAPHITE ETCHING CARBON NANOSTRUCTURES SYNTHESIZED THROUGH GRAPHITE ETCHING Q. Yang 1, C. Xiao 1, R. Sammynaiken 2 and A. Hirose 1 1 Plasma Physics Laboratory, University of Saskatchewan, 116 Science Place Saskatoon, SK

More information

Electron beam scanning

Electron beam scanning Electron beam scanning The Electron beam scanning operates through an electro-optical system which has the task of deflecting the beam Synchronously with cathode ray tube which create the image, beam moves

More information

MICRO-TOMOGRAPHY AND X-RAY ANALYSIS OF GEOLOGICAL SAMPLES

MICRO-TOMOGRAPHY AND X-RAY ANALYSIS OF GEOLOGICAL SAMPLES THE PUBLISHING HOUSE PROCEEDINGS OF THE ROMANIAN ACADEMY, Series A, OF THE ROMANIAN ACADEMY Volume 18, Number 1/2017, pp. 42 49 MICRO-TOMOGRAPHY AND X-RAY ANALYSIS OF GEOLOGICAL SAMPLES Ion GRUIA University

More information

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications D. Tsoukalas, S. Kolliopoulou, P. Dimitrakis, P. Normand Institute of Microelectronics, NCSR Demokritos, Athens, Greece S. Paul,

More information

Standards-Based Quantification in DTSA-II Part I

Standards-Based Quantification in DTSA-II Part I Part I Nicholas W.M. Ritchie National Institute of Standards and Technology, Gaithersburg, MD 20899-8371 nicholas.ritchie@nist.gov Introduction Quantifying an X-ray spectrum is the process of converting

More information

MSN551 LITHOGRAPHY II

MSN551 LITHOGRAPHY II MSN551 Introduction to Micro and Nano Fabrication LITHOGRAPHY II E-Beam, Focused Ion Beam and Soft Lithography Why need electron beam lithography? Smaller features are required By electronics industry:

More information

Ultra-High Vacuum Technology. Sputter Ion Pumps l/s

Ultra-High Vacuum Technology. Sputter Ion Pumps l/s Ultra-High Vacuum Technology 30-400 l/s 181.06.01 Excerpt from the Product Chapter C15 Edition November 2007 Contents General General..........................................................................

More information

SUPPORTING INFORMATION: Titanium Contacts to Graphene: Process-Induced Variability in Electronic and Thermal Transport

SUPPORTING INFORMATION: Titanium Contacts to Graphene: Process-Induced Variability in Electronic and Thermal Transport SUPPORTING INFORMATION: Titanium Contacts to Graphene: Process-Induced Variability in Electronic and Thermal Transport Keren M. Freedy 1, Ashutosh Giri 2, Brian M. Foley 2, Matthew R. Barone 1, Patrick

More information

Nanoholes for leak metrology

Nanoholes for leak metrology Vacuum Metrology for Industry Nanoholes for leak metrology Università Degli Studi di Genova, Italy OUTLINE INTRODUCTION FABRICATION OF NANOHOLES GEOMETRICAL CHARACTERIZATION LEAK DEVICES RESULTS: PTB INRIM

More information