The Removal of Nanoparticles from Nanotrenches Using Megasonics

Size: px
Start display at page:

Download "The Removal of Nanoparticles from Nanotrenches Using Megasonics"

Transcription

1 NSF Center for Micro and Nanoscale Contamination Control The Removal of Nanoparticles from Nanotrenches Using Megasonics Pegah Karimi 1, Tae Hoon Kim 1, Ahmed A. Busnaina 1 and Jin Goo Park 2 1 NSF Center for Micro and Nanoscale Contamination Control and NSF Nanoscale Science and Engineering Center for High-rate Nanomanufacturing Northeastern University, Boston, USA 2 Department of Materials and Chemical Engineering, Hanyang University, Ansan, Korea NSF Center for Micro and Nanoscale Contamination Control

2 Outline Overview of the NSF Center for Micro and Nanoscale Contamination Control Megasonic Cleaning Mechanisms Particle removal Mechanism Trench cleaning (prior results) Florescent Particles Localized and Global (wafer scale) measurements Experimental Procedure Fabrication Process of Si trench Particle Deposition Experimental Results Conclusions NSF Center for Micro and Nanoscale Contamination Control

3 Research Focus Fundamentals of surface cleaning and preparation Understanding of physical and chemical cleaning mechanisms such as megasonics, brush, laser shock, etc. Damage mechanisms and mitigation. Post-CMP cleaning applications. Cleaning of EUV reticles Particle adhesion Removal of Nanoparticles Cleaning of trenches and vias Laser Shock Cleaning Particle generation, transport and deposition Particulate Contamination in low pressure processes (LPCVD, Sputtering, ion implant, etc.) Contamination during wafer handling. NSF Center for Micro and Nanoscale Contamination Control

4 George Kostas Nanoscale Manufacturing Center The Kostas Center has 10,000 ft2 facility including a 7,000 ft2 cleanroom (class 10 and 100), with complete 6 wafer fabrication facility (for CMOS, MEMs, NEMs, etc.) including bulk micromachining, metal surface micromachining, CMP, and E-beam, optical and nanoimprint lithography. It also includes Field Emission Electron Microscope (FESEM), Raman spectroscopy, laser surface scanner, airborne and liquid counter, CNC particle counters (10 nm resolution), Zeta potential measurement down to 1 nm particles, several cleaning tools, several Atomic Force Microscopes, several batch and single wafer cleaning tanks (up to 12 inch).

5

6 Introduction The removal of nanoparticles from patterned wafers is one of the main challenges in the semiconductor industry. Megasonics utilizes acoustic streaming to reduce the acoustic boundary layer and the generated pulsating flow to remove nanoscale particles from trenches and other structures on the wafer. In this paper, we investigate the removal of 100nm polystyrene latex (PSL) particles from silicon trenches. The trenches used are 800 nm and 2 microns wide with an aspect ratio of one. NSF Center for Micro and Nanoscale Contamination Control

7 Removal Mechanism of Nanoparticles Removal Percentage Moment Ratio U M R Re m oval Pe rce ntage Moment Ratio Removal Percentage vs. Moment Ratio (Silica Removal Experiment) The figure shows when RM >1, 80 % of particles are removed R a RM = RM = δ Removal moment Adhesion resisting moment Fd ( R δ ) + Fdl a F a a F elec. double layer O M A F Adhesion F drag Rolling removal mechanism NSF Center for Micro and Nanoscale Contamination Control

8 Megasonic Cleaning: Acoustic Streaming Streaming Velocity vs. Acoustic Power 1 M H z 850k Hz 760k Hz 360k Hz v(cm/s) Intensity (W /cm 2 ) NSF Center for Micro and Nanoscale Contamination Control

9 Acoustic Streaming; Boundary Layer Thickness u u Free stream δ(x) y x δ Velocity boundary layer Velocity boundary layer on a flat plate τ τ Acoustic boundary layer thickness in water for 850KHz is δ ac =0.61μm The hydrodynamic boundary layer thickness in water, u=4m/s, at center of the wafer, the boundary layer thickness is δ H =2570 μm Boundary layer thickness (micron) Acoustic Flow Properties Acoustic, f=360khz Acoustic, f=760khz Acoustic, f=850khz Boundary layer thickness (micron) Streaming Velocity (m/s) Frequency(kHz) I = 7.75 W/cm 2 u>0.3c Streaming Velocity (m/s) NSF Center for Micro and Nanoscale Contamination Control

10 Physical Cleaning Of Submicron Trenches Mixing and Cleaning in Steady and Pulsating Flow Steady flow induces a vortex inside the cavity. There is no convection between the vortex and the main flow. The transport of contaminant happens by diffusion only. External oscillating flow stimulates the vortex destruction and regeneration. Contaminants are removed from cavity by the expanded vortex. The vortex oscillating mechanism significantly enhances mixing. Distance From Wafer Surface(um) Distance From Wafer Surface(um) Steady Rinse Flow: u s = 15 cm/s Distance Along Wafer Surface (um) time=1.0s Distance Along Wafer Surface (um) time=0.5s Geometry: D/W = 5 :1 W=1mm D=5mm C-ion #/cm 3 1.5E E E+12 1E+12 8E+11 6E+11 4E+11 2E+11 1E+11 1E+10 1E+09 1E+08 1E+07 1E+06 Oscillating Rinse Flow: u s = 0 cm/s u p =47cm/s u avg =15cm/s f = 2000 Hz Geometry: D/W = 5 :1 W=1mm D=5mm C-ion #/cm 3 1.5E E E+12 1E+12 8E+11 6E+11 4E+11 2E+11 1E+11 1E+10 1E+09 1E+08 1E+07 1E+06 Distance From Wafer Surface(cm) Distance From Wafer Surface(cm) Streamlines and Concentration Contour Distance Along Wafer Surface (cm) time = 3.9s Distance Along Wafer Surface(cm) t/t= 1.50, time=.0579s Steady Flow u=4.3 cm/s OSCILLATING FLOW f=25.9hz u s =0 u p =13.5cm/s u Avg = 4.3 cm/s W=1mm, D=0.7mm 1E+12 1E+11 1E+10 1E+09 1E+08 1E+07 NSF Center for Micro and Nanoscale Contamination Control

11 Fluorescent Microscope Visualization Visualization of nanoscale particles Xenon Arc lamp G block fluorescent filter specs. NSF Center for Microcontamination Control (NEU, UA)

12 Visualization of Fluorescent Particles Nikon's OPTIPHOT200 optical microscope with auto stage Nikon G block filter 75 W Xenon arc lamp Extra N.D filter Fluorescent Cube NSF Center for Microcontamination Control (NEU, UA)

13 Images of Fluorescent Particles The nano-particle detection has been verified using scanning electron microscopy (SEM). Has proven to be effective for single particle detection down to 50 nm particles. Agglomerated particles can be eliminated from the counting procedure by filtering the count by diameter and aspect ratio values. 45K J. Microscopy Research and Technique, 4 May NSF Center for Micro and Nanoscale Contamination Control

14 Particle Removal Experiments Single wafer megasonic cleaning using 300nm PSL particles in DI water at 25 oc 100% 80% Efficiency 60% 40% At the surface 100 micron below 200 micron below 20% 0% 11min 3 min 2 5 min 3 8 min 4 Bottom of Trench Time (minutes) Moment Ratio 300, 800 nm PSL particles Single Wafer Megasonic Tank (760 khz). Trenches of 112 micron wide and 508 micron deep are used in the experiments. Moment Ratio MR= At Surface 1 100mm 2 200mm 3 Bottom 4of Trench below below 300nm 800nm NSF Center for Micro and Nanoscale Contamination Control

15 Why does it take time to remove the particles? Busnaina, A., Bakhtari, K., Guldiken, G. and Park, J, Experimental and Analytical Study of Submicron Particle Removal from Deep Trenches, J. of Eletrochem. Soc., 153 (9) C603-C607, 2006 NSF Center for Micro and Nanoscale Contamination Control

16 Experimental & Computational Fluid Dynamics Simulation

17 Global Metrology of the Removal Efficiency of Submicron Particles from Structured Substrates There is a need for fast, quick metrology for evaluating cleaning process for many applications. There is a need for global (wafer-scale) metrology for measuring the removal efficiency of nanoparticles. Fluorometer offers a very fast and reliable evaluation of global removal efficiencies. The technique works for flat or structured substrates (trenches, etc.) NSF Center for Microcontamination Control

18 INTRODUCTION Fluorometer Picofluor handheld Fluorometer from Turner designs Dual channel fluorometer, Channel A for Green fluorescent while Channel B for Red fluorescent particles. Three solvent alternatives (Toluene, Methyl Ethyl Keton and Methylene Chloride) are considered. The techniques was evaluated for cleaning with DI water and dilute SC-1 Chemistry. Fluorescent Particles Green Fluorescent Excitation maxima (nm) Emission maxima (nm) Fluorometer Excitation maxima (nm) Emission maxima (nm) Channel A 475 ± ± 20 Red fluorescent Channel B 525 ± 20 >570 NSF Center for Microcontamination Control

19 Solvent Selection Fluorometer Toleune Methylene Chloride Metyl Ethyl Ketone (M.E.K) Acetone Percent Yield Solvent Selection NSF Center for Microcontamination Control

20 Calibration Curves (Methylene Chloride) Fluorometer 0.3 micron Calibration Curve Fluorometer Reading y = x micron PSL fluorescent particles Real Particle Count Linear (0.3 micron PSL fluorescent particles) Linear (Real Particle Count) Particle Number (0.3 micron particles) NSF Center for Microcontamination Control

21 Calibration Curves (Methylene Chloride) Fluorometer 0.5 micron Calibration Curve Fluorescence Reading y = x micron PSL fluorescent particles Real Particle count Linear (0.5 micron PSL fluorescent particles) Linear (Real Particle count ) Particle Number (0.5 micron particles) NSF Center for Microcontamination Control

22 Calibration Curves (Methylene Chloride) Fluorometer 0.8 micron Calibration Curve Fluorescence Reading y = x micron PSL fluorescent particles Real Particle Count Linear (0.8 micron PSL fluorescent particles) Linear (Real Particle Count) Particle Number (0.8 micron) NSF Center for Microcontamination Control

23 Calibration Curves (Methylene Chloride) Fluorometer 1200 Fluorometer reading vs. volume of particle 1000 fluorescent reading y = x micron particles 0.3 micron particles 0.8 micron particles Linear (0.8 micron particles) Linear (0.5 micron particles) Linear (0.3 micron particles) y = x y = x Particle volume(micrometer3) NSF Center for Microcontamination Control

24 Removal from 500 micron deep trenches Fluorometer Efficiency (%) minute 3 minute 5 minute 0.3 micron, DI water 25 C 0.3 micron, DI water 50 C 0.3 micron, SC-1 25 C 0.3 micron, SC-1 38 C 0.8 micron, DI water 25 C Single wafer tank cleaning, Fluorometer results (percentage) NSF Center for Microcontamination Control

25 Trench Fabriation Fabrication Process of Si trench

26 SEM Images of Trenches NSF Center for Microcontamination Control (NEU, UA)

27 Particle Deposition in Trenches Particle Deposition Dip Coater & Liquid Flow in trench driven by dip coating Front View Side View Deposition of particles using dip coater 100nm PSL particle DI water Si NSF Center for Microcontamination Control (NEU, UA)

28 Results Visualization of nanoscale particles Verification of nanoparticle counting technique NSF Center for Microcontamination Control (NEU, UA)

29 Results Megasonic cleaning of 100nm PSL particles in DI water at 25 C Before cleaning After cleaning Fluorescent Microscope images before and after cleaning of 100nm PSL particles in the trench with 800nm width The average number of particles deposited in trench: 300~400 NSF Center for Microcontamination Control (NEU, UA)

30 Results Megasonic cleaning of 100nm PSL particles in DI water at 25 C Removal of 100nm PSL particles after 4 min as a function of input megasonic power NSF Center for Microcontamination Control (NEU, UA)

31 Results Megasonic cleaning of 100nm PSL particles in DI water at 25 C Removal of 100nm PSL particles using 100% power input as a function of cleaning time NSF Center for Microcontamination Control (NEU, UA)

32 Effect of Time on the Removal of 100nm and 200nm Particles in 2 micron trenches at 100% power in DIW Removal Efficency (%) nm PSL Particles 200 nm PSL Particles 1 min 4 min 8 min Cleaning Time NSF Center for Microcontamination Control (NEU, UA)

33 Effect of Power on the Removal of 200nm Particles in 2 micron Trenches in DIW Removal Efficiemcy (%) % 60% 100% 1 min Cleaning 2 min Cleaning % of Maximum Output Power NSF Center for Microcontamination Control (NEU, UA)

34 Conclusions The detection of fluorescence from dissolved PSL particles is demonstrated for obtaining global removal efficiency for particles. The obtained calibration curves enable the fluorometer user to get a very quick and reliable global removal efficiency. Particle removal efficiency increase in both 2µm and 800nm wide trenches as the input power is increased. Complete removal of 100 nm PSL particles is achieved from a 2µm wide trench using megasonics in DI water only after 4min. Cleaning of 200nm PSL particles requires less time than 100nm PSL particle in the same size trenches. It is more difficult to remove particles from trenches smaller that 2 microns using megasonics and DI water only. In order to effectively remove 100nm PSL particles from a 800nm trench, megasonic cleaning will need to be applied for more than 8 min. NSF Center for Micro and Nanoscale Contamination Control

35 NSF Center for Micro and Nanoscale Contamination Control

36 Results Visualization of nanoscale particles Verification of nanoparticle counting technique Fluorescent Microscope image FESEM image NSF Center for Microcontamination Control (NEU, UA)

NSF Center for Micro and Nanoscale Contamination Control

NSF Center for Micro and Nanoscale Contamination Control NSF Center for Micro and Nanoscale Contamination Control Research Focus at the NSF Center for Nano and Microcontamination Control Ahmed Busnaina W. L. Smith Professor and Director NSF Center for Microcontamination

More information

Experimental and Analytical Study of Submicrometer Particle Removal from Deep Trenches

Experimental and Analytical Study of Submicrometer Particle Removal from Deep Trenches 0013-4651/2006/153 9 /C603/5/$20.00 The Electrochemical Society Experimental and Analytical Study of Submicrometer Particle Removal from Deep Trenches Kaveh Bakhtari, a Rasim O. Guldiken, a Ahmed A. Busnaina,

More information

NANO AND MICROSCALE PARTICLE REMOVAL

NANO AND MICROSCALE PARTICLE REMOVAL NANO AND MICROSCALE PARTICLE REMOVAL Ahmed A. Busnaina William Lincoln Smith Professor and Director of the oratory Northeastern University, Boston, MA 2115-5 OUTLINE Goals and Objectives Approach Preliminary

More information

MEGASONIC CLEANING OF WAFERS IN ELECTROLYTE SOLUTIONS: POSSIBLE ROLE OF ELECTRO-ACOUSTIC AND CAVITATION EFFECTS. The University of Arizona, Tucson

MEGASONIC CLEANING OF WAFERS IN ELECTROLYTE SOLUTIONS: POSSIBLE ROLE OF ELECTRO-ACOUSTIC AND CAVITATION EFFECTS. The University of Arizona, Tucson MEGASONIC CLEANING OF WAFERS IN ELECTROLYTE SOLUTIONS: POSSIBLE ROLE OF ELECTRO-ACOUSTIC AND CAVITATION EFFECTS Manish Keswani 1, Srini Raghavan 1, Pierre Deymier 1 and Steven Verhaverbeke 2 1 The University

More information

Surface Properties of EUVL. Laser Shock Cleaning (LSC)

Surface Properties of EUVL. Laser Shock Cleaning (LSC) 2007 International EUVL Symposium, Japan Surface Properties of EUVL Mask Layers after High Energy Laser Shock Cleaning (LSC) Tae-Gon Kim, Young-Sam Yoo, Il-Ryong Son, Tae-Geun Kim *, Jinho Ahn *, Jong-Myoung

More information

Effects of Size, Humidity, and Aging on Particle Removal

Effects of Size, Humidity, and Aging on Particle Removal LEVITRONIX Ultrapure Fluid Handling and Wafer Cleaning Conference 2009 February 10, 2009 Effects of Size, Humidity, and Aging on Particle Removal Jin-Goo Park Feb. 10, 2009 Department t of Materials Engineering,

More information

PARTICLE ADHESION AND REMOVAL IN POST-CMP APPLICATIONS

PARTICLE ADHESION AND REMOVAL IN POST-CMP APPLICATIONS PARTICLE ADHESION AND REMOVAL IN POST-CMP APPLICATIONS George Adams, Ahmed A. Busnaina and Sinan Muftu the oratory Mechanical, Industrial, and Manufacturing Eng. Department Northeastern University, Boston,

More information

SPCC Department of Bio-Nano Technology and 2 Materials Science and Chemical Engineering, Hanyang University, Ansan, 15588, Republic of Korea.

SPCC Department of Bio-Nano Technology and 2 Materials Science and Chemical Engineering, Hanyang University, Ansan, 15588, Republic of Korea. SPCC 2018 Hanyang University NEMPL Jin-Goo Park 1,2 *, Jung-Hwan Lee a, In-chan Choi 1, Hyun-Tae Kim 1, Lieve Teugels 3, and Tae-Gon Kim 3 1 Department of Bio-Nano Technology and 2 Materials Science and

More information

Holographic Characterization of Agglomerates in CMP Slurries

Holographic Characterization of Agglomerates in CMP Slurries Holographic Characterization of Agglomerates in CMP Slurries Total Holographic Characterization (THC) Comparison of THC to other technologies Dynamic Light Scattering (DLS) Scanning Electron Microscopy

More information

Nanosphere Lithography

Nanosphere Lithography Nanosphere Lithography Derec Ciafre 1, Lingyun Miao 2, and Keita Oka 1 1 Institute of Optics / 2 ECE Dept. University of Rochester Abstract Nanosphere Lithography is quickly emerging as an efficient, low

More information

Fundamentals of Particle Counting

Fundamentals of Particle Counting Fundamentals of Particle Counting 1 Particle Counting: Remains the most significant technique for determining the cleanliness level of a fluid Useful as a tool for qualification and monitoring cleanroom

More information

Non-contact removal of 60-nm latex particles from silicon wafers with laser-induced plasma

Non-contact removal of 60-nm latex particles from silicon wafers with laser-induced plasma J. Adhesion Sci. Technol., Vol. 18, No. 7, pp. 795 806 (2004) VSP 2004. Also available online - www.vsppub.com Non-contact removal of 60-nm latex particles from silicon wafers with laser-induced plasma

More information

Nanoscale IR spectroscopy of organic contaminants

Nanoscale IR spectroscopy of organic contaminants The nanoscale spectroscopy company The world leader in nanoscale IR spectroscopy Nanoscale IR spectroscopy of organic contaminants Application note nanoir uniquely and unambiguously identifies organic

More information

Gold nanothorns macroporous silicon hybrid structure: a simple and ultrasensitive platform for SERS

Gold nanothorns macroporous silicon hybrid structure: a simple and ultrasensitive platform for SERS Supporting Information Gold nanothorns macroporous silicon hybrid structure: a simple and ultrasensitive platform for SERS Kamran Khajehpour,* a Tim Williams, b,c Laure Bourgeois b,d and Sam Adeloju a

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial Marks for EUV mask blanks Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial marks are laser scribed on 200 mm wafers to enable defect registration on metrology

More information

Sensors and Metrology. Outline

Sensors and Metrology. Outline Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

Particle Size Analysis with Differential Centrifugal Sedimentation. Marc Steinmetz Sales & Support Manager CPS Instruments Europe

Particle Size Analysis with Differential Centrifugal Sedimentation. Marc Steinmetz Sales & Support Manager CPS Instruments Europe Particle Size Analysis with Differential Centrifugal Sedimentation Marc Steinmetz Sales & Support Manager CPS Instruments Europe What is important to you from a particle sizing technique? Many people talk

More information

Spring Lecture 4 Contamination Control and Substrate Cleaning. Nanometer Scale Patterning and Processing

Spring Lecture 4 Contamination Control and Substrate Cleaning. Nanometer Scale Patterning and Processing Nanometer Scale Patterning and Processing Spring 2016 Lecture 4 Contamination Control and Substrate Cleaning Contaminants A substance causes uncontrolled variations in the (electrical) performance of the

More information

GRAPHENE ON THE Si-FACE OF SILICON CARBIDE USER MANUAL

GRAPHENE ON THE Si-FACE OF SILICON CARBIDE USER MANUAL GRAPHENE ON THE Si-FACE OF SILICON CARBIDE USER MANUAL 1. INTRODUCTION Silicon Carbide (SiC) is a wide band gap semiconductor that exists in different polytypes. The substrate used for the fabrication

More information

2.76/2.760 Multiscale Systems Design & Manufacturing

2.76/2.760 Multiscale Systems Design & Manufacturing 2.76/2.760 Multiscale Systems Design & Manufacturing Fall 2004 MOEMS Devices for Optical communications system Switches and micromirror for Add/drops Diagrams removed for copyright reasons. MOEMS MEMS

More information

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD Supplementary figure 1 Graphene Growth and Transfer Graphene PMMA FeCl 3 DI water Copper foil CVD growth Back side etch PMMA coating Copper etch in 0.25M FeCl 3 DI water rinse 1 st transfer DI water 1:10

More information

The design of an integrated XPS/Raman spectroscopy instrument for co-incident analysis

The design of an integrated XPS/Raman spectroscopy instrument for co-incident analysis The design of an integrated XPS/Raman spectroscopy instrument for co-incident analysis Tim Nunney The world leader in serving science 2 XPS Surface Analysis XPS +... UV Photoelectron Spectroscopy UPS He(I)

More information

Acoustic Streaming Effects in Megasonic Cleaning of EUV Photomasks: A continuum model.

Acoustic Streaming Effects in Megasonic Cleaning of EUV Photomasks: A continuum model. Acoustic Streaming Effects in Megasonic Cleaning of EUV Photomasks: A continuum model. Vivek Kapila a, Pierre A. Deymier a, Hrishikesh Shende a, Viraj Pandit b, Srini Raghavan a and Florence O. Eschbach

More information

ESH Benign Processes for he Integration of Quantum Dots (QDs)

ESH Benign Processes for he Integration of Quantum Dots (QDs) ESH Benign Processes for he Integration of Quantum Dots (QDs) PIs: Karen K. Gleason, Department of Chemical Engineering, MIT Graduate Students: Chia-Hua Lee: PhD Candidate, Department of Material Science

More information

SHRINK. STACK. INTEGRATE.

SHRINK. STACK. INTEGRATE. SHRINK. STACK. INTEGRATE. SUSS MICROTEC PRODUCT PORTFOLIO SHAPING THE FUTURE With more than 60 years of engineering experience SUSS MicroTec is a leading supplier of process equipment for microstructuring

More information

npcd NanoParticle Collection Device for Ultra-Pure Water

npcd NanoParticle Collection Device for Ultra-Pure Water npcd NanoParticle Collection Device for Ultra-Pure Water New Analytical tool for Rapid Identification of Sub-50 Nanometer Elemental Contamination David Blackford, PhD, Fluid Measurement Technologies Inc

More information

Mask/Reticle Cleaning Systems

Mask/Reticle Cleaning Systems Mask/Reticle Cleaning Systems 3019 Alvin Devane Blvd., Suite 300, Austin, Texas 78741 Ph. 512-385-4552; Fax 512-385-4900 main@nanomaster.com; www.nanomaster.com The LSC-5000 is a state of the art auto

More information

Efficient Cleaning Of Silicon Wafers Using Ultrasonic

Efficient Cleaning Of Silicon Wafers Using Ultrasonic Page 1 of 8 Efficient Cleaning Of Silicon Wafers Using Ultrasonic Technology By Rugved Nakade, Anthony Sayka, Dhiraj K. Sardar, Ph.D.,, Raylon M. Yow The surface contamination of wafers, especially by

More information

SCALING OF THE ADHESION BETWEEN PARTICLES AND SURFACES FROM MICRON-SCALE TO THE NANOMETER SCALE FOR PHOTOMASK CLEANING APPLICATIONS

SCALING OF THE ADHESION BETWEEN PARTICLES AND SURFACES FROM MICRON-SCALE TO THE NANOMETER SCALE FOR PHOTOMASK CLEANING APPLICATIONS SCALING OF THE ADHESION BETWEEN PARTICLES AND SURFACES FROM MICRON-SCALE TO THE NANOMETER SCALE FOR PHOTOMASK CLEANING APPLICATIONS Gautam Kumar, Shanna Smith, Florence Eschbach, Arun Ramamoorthy, Michael

More information

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM U.S. -KOREA Forums on Nanotechnology 1 CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM February 17 th 2005 Eung-Sug Lee,Jun-Ho Jeong Korea Institute of Machinery & Materials U.S. -KOREA Forums

More information

Supplementary Information

Supplementary Information Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 2015 Supplementary Information Visualization of equilibrium position of colloidal particles at fluid-water

More information

Scaling up Chemical Vapor Deposition Graphene to 300 mm Si substrates

Scaling up Chemical Vapor Deposition Graphene to 300 mm Si substrates Scaling up Chemical Vapor Deposition Graphene to 300 mm Si substrates Co- Authors Aixtron Alex Jouvray Simon Buttress Gavin Dodge Ken Teo The work shown here has received partial funding from the European

More information

Standardization of Optical Particle Counters

Standardization of Optical Particle Counters Standardization of Optical Particle Counters Dean Ripple Bioprocess Measurements Group NIST, Gaithersburg WCBP, January 25, 2012 Protein Particulates in Biotherapeutics Proteins in solution partially denature

More information

Supporting Information

Supporting Information Supporting Information Assembly and Densification of Nanowire Arrays via Shrinkage Jaehoon Bang, Jonghyun Choi, Fan Xia, Sun Sang Kwon, Ali Ashraf, Won Il Park, and SungWoo Nam*,, Department of Mechanical

More information

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur Nova 600 NanoLab Dual beam Focused Ion Beam system @ IITKanpur Dual Beam Nova 600 Nano Lab From FEI company (Dual Beam = SEM + FIB) SEM: The Electron Beam for SEM Field Emission Electron Gun Energy : 500

More information

Laboratory 3: Confocal Microscopy Imaging of Single Emitter Fluorescence and Hanbury Brown, and Twiss Setup for Photon Antibunching

Laboratory 3: Confocal Microscopy Imaging of Single Emitter Fluorescence and Hanbury Brown, and Twiss Setup for Photon Antibunching Laboratory 3: Confocal Microscopy Imaging of Single Emitter Fluorescence and Hanbury Brown, and Twiss Setup for Photon Antibunching Jonathan Papa 1, * 1 Institute of Optics University of Rochester, Rochester,

More information

The Mechanics of CMP and Post-CMP Cleaning

The Mechanics of CMP and Post-CMP Cleaning The Mechanics of CMP and Post-CMP Cleaning Sinan Müftü Ahmed Busnaina George Adams Department of Mechanical, Industrial and Manuf. Engineering Northeastern University Boston, MA 02115 Introduction Objective

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

MS482 Materials Characterization ( 재료분석 ) Lecture Note 12: Summary. Byungha Shin Dept. of MSE, KAIST

MS482 Materials Characterization ( 재료분석 ) Lecture Note 12: Summary. Byungha Shin Dept. of MSE, KAIST 2015 Fall Semester MS482 Materials Characterization ( 재료분석 ) Lecture Note 12: Summary Byungha Shin Dept. of MSE, KAIST 1 Course Information Syllabus 1. Overview of various characterization techniques (1

More information

Fabrication of ordered array at a nanoscopic level: context

Fabrication of ordered array at a nanoscopic level: context Fabrication of ordered array at a nanoscopic level: context Top-down method Bottom-up method Classical lithography techniques Fast processes Size limitations it ti E-beam techniques Small sizes Slow processes

More information

Pattern Transfer- photolithography

Pattern Transfer- photolithography Pattern Transfer- photolithography DUV : EUV : 13 nm 248 (KrF), 193 (ArF), 157 (F 2 )nm H line: 400 nm I line: 365 nm G line: 436 nm Wavelength (nm) High pressure Hg arc lamp emission Ref: Campbell: 7

More information

Photolithography 光刻 Part II: Photoresists

Photolithography 光刻 Part II: Photoresists 微纳光电子材料与器件工艺原理 Photolithography 光刻 Part II: Photoresists Xing Sheng 盛兴 Department of Electronic Engineering Tsinghua University xingsheng@tsinghua.edu.cn 1 Photolithography 光刻胶 负胶 正胶 4 Photolithography

More information

Post Tungsten CMP Cleaner Development with Improved Organic and Particle Residue Removal on Silicon Nitride and Excellent Tungsten Compatibility

Post Tungsten CMP Cleaner Development with Improved Organic and Particle Residue Removal on Silicon Nitride and Excellent Tungsten Compatibility Post Tungsten CMP Cleaner Development with Improved Organic and Particle Residue Removal on Silicon Nitride and Excellent Tungsten Compatibility Ching-Hsun Chao, Chi Yen, Ping Hsu, Eugene Lee, Paul Bernatis

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Application of Micro-Flow Imaging (MFI TM ) to The Analysis of Particles in Parenteral Fluids. October 2006 Ottawa, Canada

Application of Micro-Flow Imaging (MFI TM ) to The Analysis of Particles in Parenteral Fluids. October 2006 Ottawa, Canada Application of Micro-Flow Imaging (MFI TM ) to The Analysis of Particles in Parenteral Fluids October 26 Ottawa, Canada Summary The introduction of a growing number of targeted protein-based drug formulations

More information

Fabrication of micro-optical components in polymer using proton beam micro-machining and modification

Fabrication of micro-optical components in polymer using proton beam micro-machining and modification Nuclear Instruments and Methods in Physics Research B 210 (2003) 250 255 www.elsevier.com/locate/nimb Fabrication of micro-optical components in polymer using proton beam micro-machining and modification

More information

Sensors and Metrology

Sensors and Metrology Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF)

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Journal of the Korean Physical Society, Vol. 33, No. 5, November 1998, pp. 579 583 Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Baikil Choi and Hyeongtag Jeon School

More information

Supplementary Information for. Effect of Ag nanoparticle concentration on the electrical and

Supplementary Information for. Effect of Ag nanoparticle concentration on the electrical and Supplementary Information for Effect of Ag nanoparticle concentration on the electrical and ferroelectric properties of Ag/P(VDF-TrFE) composite films Haemin Paik 1,2, Yoon-Young Choi 3, Seungbum Hong

More information

PHI 5000 Versaprobe-II Focus X-ray Photo-electron Spectroscopy

PHI 5000 Versaprobe-II Focus X-ray Photo-electron Spectroscopy PHI 5000 Versaprobe-II Focus X-ray Photo-electron Spectroscopy The very basic theory of XPS XPS theroy Surface Analysis Ultra High Vacuum (UHV) XPS Theory XPS = X-ray Photo-electron Spectroscopy X-ray

More information

Cleaning Surfaces from Nanoparticles with Polymer Film: Impact of the Polymer Stripping

Cleaning Surfaces from Nanoparticles with Polymer Film: Impact of the Polymer Stripping Cleaning Surfaces from Nanoparticles with Polymer Film: Impact of the Polymer Stripping A. LALLART 1,2,3,4, P. GARNIER 1, E. LORENCEAU 2, A. CARTELLIER 3, E. CHARLAIX 2 1 STMICROELECTRONICS, CROLLES, FRANCE

More information

Model 2300XP PSL & Process-Particle Wafer Deposition System

Model 2300XP PSL & Process-Particle Wafer Deposition System Model 2300XP PSL & Process-Particle Wafer Deposition System Deposit PSL spheres on wafers to create NISTtraceable PSL size standards for - calibrating wafer inspection systems - providing fab-wide and

More information

The solution for all of your

The solution for all of your The solution for all of your nanoparticle sizing and zeta potential needs. DelsaNano Series Blood Banking Capillary Electrophoresis Cell Analysis Centrifugation Genomics Lab Automation Lab Tools Particle

More information

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities Kavli Workshop for Journalists June 13th, 2007 CNF Cleanroom Activities Seeing nm-sized Objects with an SEM Lab experience: Scanning Electron Microscopy Equipment: Zeiss Supra 55VP Scanning electron microscopes

More information

Nature Protocols: doi: /nprot Supplementary Figure 1

Nature Protocols: doi: /nprot Supplementary Figure 1 Supplementary Figure 1 Photographs of the 3D-MTC device and the confocal fluorescence microscopy. I: The system consists of a Leica SP8-Confocal microscope (with an option of STED), a confocal PC, a 3D-MTC

More information

Anti-Bunching from a Quantum Dot

Anti-Bunching from a Quantum Dot Anti-Bunching from a Quantum Dot Gerardo I. Viza 1, 1 Department of Physics and Astronomy, University of Rochester, Rochester, NY 14627 We study the nature of non-classical single emitter light experimentally

More information

Table of Content. Mechanical Removing Techniques. Ultrasonic Machining (USM) Sputtering and Focused Ion Beam Milling (FIB)

Table of Content. Mechanical Removing Techniques. Ultrasonic Machining (USM) Sputtering and Focused Ion Beam Milling (FIB) Table of Content Mechanical Removing Techniques Ultrasonic Machining (USM) Sputtering and Focused Ion Beam Milling (FIB) Ultrasonic Machining In ultrasonic machining (USM), also called ultrasonic grinding,

More information

Introducing the Morphologi G3 ID The future of particle characterization

Introducing the Morphologi G3 ID The future of particle characterization Introducing the Morphologi G3 ID The future of particle characterization Dr Anne Virden, Product technical specialist diffraction and analytical imaging What is the Morphologi G3-ID? Advanced R&D particle

More information

Thin Wafer Handling Challenges and Emerging Solutions

Thin Wafer Handling Challenges and Emerging Solutions 1 Thin Wafer Handling Challenges and Emerging Solutions Dr. Shari Farrens, Mr. Pete Bisson, Mr. Sumant Sood and Mr. James Hermanowski SUSS MicroTec, 228 Suss Drive, Waterbury Center, VT 05655, USA 2 Thin

More information

Femtosecond laser microfabrication in. Prof. Dr. Cleber R. Mendonca

Femtosecond laser microfabrication in. Prof. Dr. Cleber R. Mendonca Femtosecond laser microfabrication in polymers Prof. Dr. Cleber R. Mendonca laser microfabrication focus laser beam on material s surface laser microfabrication laser microfabrication laser microfabrication

More information

Basic Laboratory. Materials Science and Engineering. Atomic Force Microscopy (AFM)

Basic Laboratory. Materials Science and Engineering. Atomic Force Microscopy (AFM) Basic Laboratory Materials Science and Engineering Atomic Force Microscopy (AFM) M108 Stand: 20.10.2015 Aim: Presentation of an application of the AFM for studying surface morphology. Inhalt 1.Introduction...

More information

Lecture 6. Rapid Thermal Processing. Reading: Chapter 6

Lecture 6. Rapid Thermal Processing. Reading: Chapter 6 Lecture 6 Rapid Thermal Processing Reading: Chapter 6 (Chapter 6) Categories: Rapid Thermal Anneal (RTA) Rapid Thermal Oxidation (RTO) Rapid Thermal Nitridation (RTN) (and oxynitrides) Rapid Thermal Diffusion

More information

THE INFLUENCE OF PUMPS ON SURFACE PREPARATION

THE INFLUENCE OF PUMPS ON SURFACE PREPARATION THE INFLUENCE OF PUMPS ON SURFACE PREPARATION A Final Report (Sep 2010 Dec 2010) Submitted by Prof. Jin-Goo Park Department of Materials Engineering Hanyang University, Ansan, 426-791, Korea To LEVITRONIX,

More information

Introduction to Dynamic Light Scattering for Particle Size Determination

Introduction to Dynamic Light Scattering for Particle Size Determination www.horiba.com/us/particle Jeffrey Bodycomb, Ph.D. Introduction to Dynamic Light Scattering for Particle Size Determination 2016 HORIBA, Ltd. All rights reserved. 1 Sizing Techniques 0.001 0.01 0.1 1 10

More information

Low Voltage Field Emission SEM (LV FE-SEM): A Promising Imaging Approach for Graphene Samples

Low Voltage Field Emission SEM (LV FE-SEM): A Promising Imaging Approach for Graphene Samples Low Voltage Field Emission SEM (LV FE-SEM): A Promising Imaging Approach for Graphene Samples Jining Xie Agilent Technologies May 23 rd, 2012 www.agilent.com/find/nano Outline 1. Introduction 2. Agilent

More information

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography 1 Reference 1. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (2001) 2. - (2004) 3. Semiconductor Physics and Devices-

More information

MSN551 LITHOGRAPHY II

MSN551 LITHOGRAPHY II MSN551 Introduction to Micro and Nano Fabrication LITHOGRAPHY II E-Beam, Focused Ion Beam and Soft Lithography Why need electron beam lithography? Smaller features are required By electronics industry:

More information

Instrumentation and Operation

Instrumentation and Operation Instrumentation and Operation 1 STM Instrumentation COMPONENTS sharp metal tip scanning system and control electronics feedback electronics (keeps tunneling current constant) image processing system data

More information

Analysis of carbon contamination on EUV mask using CSM/ ICS

Analysis of carbon contamination on EUV mask using CSM/ ICS Analysis of carbon contamination on EUV mask using CSM/ ICS Jae Uk Lee 1, Chang Young Jeong 1, Sangsul Lee 1, Jong Gul Doh 1,, Dong Geun Lee 2, Seong-Sue Kim 2, Han-Ku Cho 2, Seung-yu Rah 3 and Jinho Ahn

More information

2d-Laser Cantilever Anemometer

2d-Laser Cantilever Anemometer 2d-Laser Cantilever Anemometer Introduction Measuring principle Calibration Design Comparative measurement Contact: Jaroslaw Puczylowski University of Oldenburg jaroslaw.puczylowski@forwind.de Introduction

More information

Microparticle Reference Materials for Particle Analysis in Nuclear Safeguards Production & Characterisation

Microparticle Reference Materials for Particle Analysis in Nuclear Safeguards Production & Characterisation Microparticle Reference Materials for Particle Analysis in Nuclear Safeguards Production & Characterisation 01 May 2018 I Stefan Neumeier, Philip Kegler, Martina Klinkenberg, Irmgard Niemeyer, Dirk Bosbach

More information

Temperature Dependent Current-voltage Characteristics of P- type Crystalline Silicon Solar Cells Fabricated Using Screenprinting

Temperature Dependent Current-voltage Characteristics of P- type Crystalline Silicon Solar Cells Fabricated Using Screenprinting Temperature Dependent Current-voltage Characteristics of P- type Crystalline Silicon Solar Cells Fabricated Using Screenprinting Process Hyun-Jin Song, Won-Ki Lee, Chel-Jong Choi* School of Semiconductor

More information

Auger Electron Spectroscopy (AES)

Auger Electron Spectroscopy (AES) 1. Introduction Auger Electron Spectroscopy (AES) Silvia Natividad, Gabriel Gonzalez and Arena Holguin Auger Electron Spectroscopy (Auger spectroscopy or AES) was developed in the late 1960's, deriving

More information

Etching Capabilities at Harvard CNS. March 2008

Etching Capabilities at Harvard CNS. March 2008 Etching Capabilities at Harvard CNS March 2008 CNS: A shared use facility for the Harvard Community and New England CNS Provides technical support, equipment and staff. Explicitly multi-disciplinary w/

More information

Supporting Information. Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition

Supporting Information. Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition 1 Supporting Information Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition Jaechul Ryu, 1,2, Youngsoo Kim, 4, Dongkwan Won, 1 Nayoung Kim, 1 Jin Sung Park, 1 Eun-Kyu

More information

In Situ and Real-Time Metrology during Cleaning, Rinsing, and Drying of Microand Nano-Structures

In Situ and Real-Time Metrology during Cleaning, Rinsing, and Drying of Microand Nano-Structures In Situ and Real-Time Metrology during Cleaning, Rinsing, and Drying of Microand Nano-Structures Jun Yan *, Davoud Zamani *, Bert Vermeire +, Farhang Shadman * * Chemical Engineering, University of Arizona

More information

An Optimal Substrate Design for SERS: Dual-Scale Diamond-Shaped Gold Nano-Structures Fabricated via Interference Lithography

An Optimal Substrate Design for SERS: Dual-Scale Diamond-Shaped Gold Nano-Structures Fabricated via Interference Lithography Supporting Information An Optimal Substrate Design for SERS: Dual-Scale Diamond-Shaped Gold Nano-Structures Fabricated via Interference Lithography Hyo-Jin Ahn a, Pradheep Thiyagarajan a, Lin Jia b, Sun-I

More information

Enhancement of Exciton Transport in Porphyrin. Aggregate Nanostructures by Controlling. Hierarchical Self-Assembly

Enhancement of Exciton Transport in Porphyrin. Aggregate Nanostructures by Controlling. Hierarchical Self-Assembly Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 2018 Supporting Information for Enhancement of Exciton Transport in Porphyrin Aggregate Nanostructures

More information

Electrostatic Bonding of Silicon-to-ITO coated #7059 Glass using Li-doped Oxide Interlayer

Electrostatic Bonding of Silicon-to-ITO coated #7059 Glass using Li-doped Oxide Interlayer Journal of the Korean Physical Society, Vol. 33, No., November 1998, pp. S406 S410 Electrostatic Bonding of Silicon-to-ITO coated #7059 Glass using Li-doped Oxide Interlayer Jee-Won Jeong, Byeong-Kwon

More information

Lithography. The Crystal Growth and Reticle Degradation Exposé. Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation

Lithography. The Crystal Growth and Reticle Degradation Exposé. Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation Lithography R E T I C L E The Crystal Growth and Reticle Degradation Exposé Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation Brian J. Grenon, Grenon Consulting, Incorporated

More information

Nanoparticle Contamination Control and Metrology for the EUVL Systems

Nanoparticle Contamination Control and Metrology for the EUVL Systems Nanoparticle Contamination Control and Metrology for the EUVL Systems David Y. H. Pui Distinguished McKnight University Professor Mechanical Engineering Department University of Minnesota Jing Wang Assistant

More information

A short pulsed laser cleaning system for EUVL tool

A short pulsed laser cleaning system for EUVL tool A short pulsed laser cleaning system for EUVL tool Masami Yonekawa, Hisashi Namba and Tatsuya Hayashi Nanotechnology & Advanced System Research Laboratories, Canon inc. 23-10, Kiyohara-Kogyodanchi, Utsunomiya-shi,

More information

Applications in Biofluidics

Applications in Biofluidics Applications in Biofluidics Last Class: 1. Introduction of μpiv 2. Considerations of Microscopy in μpiv 3. Depth of Correlation 4. Physics of Particles in Micro PIV 5. Measurement Errors 6. Special Processing

More information

MICRO-SCALE SHEET RESISTANCE MEASUREMENTS ON ULTRA SHALLOW JUNCTIONS

MICRO-SCALE SHEET RESISTANCE MEASUREMENTS ON ULTRA SHALLOW JUNCTIONS MICRO-SCALE SHEET RESISTANCE MEASUREMENTS ON ULTRA SHALLOW JUNCTIONS Christian L. Petersen, Rong Lin, Dirch H. Petersen, Peter F. Nielsen CAPRES A/S, Burnaby, BC, Canada CAPRES A/S, Lyngby, Denmark We

More information

Nanotechnology Fabrication Methods.

Nanotechnology Fabrication Methods. Nanotechnology Fabrication Methods. 10 / 05 / 2016 1 Summary: 1.Introduction to Nanotechnology:...3 2.Nanotechnology Fabrication Methods:...5 2.1.Top-down Methods:...7 2.2.Bottom-up Methods:...16 3.Conclusions:...19

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Today: 1. Introduction E. Bernhard Kley Institute of Applied Physics Friedrich-Schiller

More information

Self-assembled nanostructures for antireflection optical coatings

Self-assembled nanostructures for antireflection optical coatings Self-assembled nanostructures for antireflection optical coatings Yang Zhao 1, Guangzhao Mao 2, and Jinsong Wang 1 1. Deaprtment of Electrical and Computer Engineering 2. Departmentof Chemical Engineering

More information

Supplementary Information

Supplementary Information Supplementary Information Experimental Section Hybrid Nanoparticle Synthesis The hafnium nanoparticles surface-modified with methacrylic acid (MAA, Sigma Aldrich, 99%), trans 2, 3-dimethylacrylic acid

More information

Effective testing for wafer reject minimization by terahertz analysis and sub-surface imaging

Effective testing for wafer reject minimization by terahertz analysis and sub-surface imaging Effective testing for wafer reject minimization by terahertz analysis and sub-surface imaging Anis Rahman and Aunik K. Rahman Applied Research & Photonics 470 Friendship Road, Suite 10 Harrisburg, PA 17111,

More information

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes Fabrication of the scanning thermal microscopy (SThM) probes is summarized in Supplementary Fig. 1 and proceeds

More information

Measuring sub-50nm particle retention of UPW filters

Measuring sub-50nm particle retention of UPW filters Measuring sub-50nm particle retention of UPW filters Don Grant and Gary Van Schooneveld 7121 Shady Oak Road, Eden Prairie, MN 55344 May 2, 2011 CTA 1286 2519 1 Introduction The critical feature size of

More information

Supplementary materials for: Large scale arrays of single layer graphene resonators

Supplementary materials for: Large scale arrays of single layer graphene resonators Supplementary materials for: Large scale arrays of single layer graphene resonators Arend M. van der Zande* 1, Robert A. Barton 2, Jonathan S. Alden 2, Carlos S. Ruiz-Vargas 2, William S. Whitney 1, Phi

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

Sciences and Analyses of Materials Department Surface Treatment Unit Centre de Recherche Public Gabriel Lippmann Belvaux - Luxembourg

Sciences and Analyses of Materials Department Surface Treatment Unit Centre de Recherche Public Gabriel Lippmann Belvaux - Luxembourg Sciences and Analyses of Materials Department Surface Treatment Unit Centre de Recherche Public Gabriel Lippmann Belvaux - Luxembourg Surface Treatment Unit, UTS BRIEF history : 2006 2009 : launched of

More information

Supporting information:

Supporting information: Supporting information: Wavevector-Selective Nonlinear Plasmonic Metasurfaces Kuang-Yu Yang, 1,# Ruggero Verre, 2, # Jérémy Butet, 1,#, * Chen Yan, 1 Tomasz J. Antosiewicz, 2,3 Mikael Käll, 2 and Olivier

More information

Traceability research activities in the field of airborne particle number/ mass concentration measurement Liu Junjie, Zhang Wenge, Song Xiaoping

Traceability research activities in the field of airborne particle number/ mass concentration measurement Liu Junjie, Zhang Wenge, Song Xiaoping Traceability research activities in the field of airborne particle number/ mass concentration measurement Liu Junjie, Zhang Wenge, Song Xiaoping Division of Nano metrology and Materials Measurement National

More information

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source 3rd International EUVL Symposium NOVEMBER 1-4, 2004 Miyazaki, Japan Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source H. Tanaka, A. Matsumoto, K. Akinaga, A. Takahashi

More information

Supporting Information

Supporting Information Electronic Supplementary Material (ESI) for Chemical Communications. This journal is The Royal Society of Chemistry 2014 Supporting Information Near-infrared Light Triggerable Deformation-free Polysaccharide

More information

ECE280: Nano-Plasmonics and Its Applications. Week8

ECE280: Nano-Plasmonics and Its Applications. Week8 ECE280: Nano-Plasmonics and Its Applications Week8 Surface Enhanced Raman Scattering (SERS) and Surface Plasmon Amplification by Stimulated Emission of Radiation (SPASER) Raman Scattering Chandrasekhara

More information