Screening of basic resist materials and PAGs for EUV-Lithography

Size: px
Start display at page:

Download "Screening of basic resist materials and PAGs for EUV-Lithography"

Transcription

1 Screening of basic resist materials and PAGs for EUV-Lithography 1. Int. EUVL-Symposium, Dallas, TX Klaus Lowack, Wolf-Dieter Domke, liver Kirch, Karl Kragler, Heinz-Ulrich Scheunemann* Infineon Technologies AG, 915 Erlangen, Germany * BESSY GmbH, Berlin, Germany Never stop thinking. eturn to Listing

2 Introduction: EUV absorbance The EUV absorbance in organic materials is done by inner-shell electrons and is therefor - differently from optical lithography - independent of molecular structure. The attenuation length plot defines the depth, where the EUV radiation is attenuated to 1/e of the initial energy [LBNL CX]. Attenuation lengths at 13.4 nm wavelength ( 94 ev) are converted to EUV absorbance using Beers law. EUV absorbance goes proportional with the heteroatom/carbon ratio I-line, DUV and 193nm resists all show more or less the same EUV absorbance of 3-6 µm -1 The fluorine content in 157nm resist result in a higher EUV absorbance of 5-1 µm -1 Siloxane and CH-units in the polymer lower the EUV absorbance EUV absorbance [1/µm] 2 18 Teflon PI PC 6 PMMA *) Heteroatom are non-c 2 and non-h 248 PP 365 Siloxan,,5 1, 1,5 2, 2,5 Heteroatom *) /Carbon atio

3 Absorption of various Polymers and PAGs EUV absorbance [1/µm] TPS-Nonaflate DPI-Triflate bis-tbutyl-pi- Nonaflate TPS-Hexaflate bis-tbutyl-pi- Hexaflate bis-tbutyl-pi-triflate TPS-Triflate *) Heteroatom are non-c and non-h, 1, 2, 3, 4, 5, 6, Carbon/Heteroatom *) atio bis-tbutyl-pi-tosylate The strongest absorbing atoms in resists and PAGs are J > F > >> N > C, Cl, S, H Triphenylsulfonium PAGs have a lower absorbance than Diphenyliodonium PAGs longer CF 2 chains in the PAG anion increase the absorbance (nonaflate > hexaflate > triflate) While for the polymer it is advantageous to have a low absorbance, this is not yet proven for the PAGs

4 Materials under Investigation: Polymers & PAGs Acrylic MA type I, Si-containing PSS-type Acrylic-MA-polymers were prepared by radical polymerization. Si(CH 3 ) 3 CH 3 X CH 3 Y PSS-type polymers and PAG materials were used as received. PHST and ESCAP type materials were used as reference. Acrylic MA type II Si Si Si Si Si Si Si Si = i-bu C H PHST type The photoacid generators used were Triphenylsulfonium- (TPS), Diphenyliodonium- (DPJ) and bistbutylphenyliodonium (tb-dpj)salts of trifluorosulfonic- (Triflate), hexafluorosulfonic- (Hexaflate) and nonafluorosulfonic (Nonaflate) acid. Ph 3 S + CF 3 S 3 - Ph 2 J + (tbu-ph) 2 J + CF 3 CHF CF 2 S 3 - CF 3 (CF 2 ) 3 S 3 - H C ESCAP type

5 EUV Beamline at the Berliner Elektronenspeicherring- Gesellschaft für Synchrotronstrahlung (BESSY) Main parts of the BESSY EUV setup: Pre-mirror is a low-pass for the absorption of high energetic photons to prevent multilayer-mirror damage Multilayer-mirror for in-band EUV ( nm) Si-membrane window (option) for vacuum separation and contamination protection Exposure chamber with dose control

6 Exposure Chamber Details at BESSY Spectral Power [mw/nm] 7,E-1 6,E-1 5,E-1 4,E-1 3,E-1 2,E-1 1,E-1,E+ Spectral Intensity at the EUV-Beamline Dipol Spectrum Spectrum after Pre-mirror Spectrum after 2 Multilayer-mirrors wavelength [nm] Spectral Power [mw/nm] 1,E-1 8,E-2 6,E-2 4,E-2 2,E-2 Spectral Power Distribution at EUV-Beamline,E , , ,5 15 wavelength [nm] without Si-Window after.5 µm Si 11 µw/cm² 45 µw/cm² pen Frame area : 3 mm x 6 mm Intensity: 12 µw/cm 2 at 2 ma ring current Uniformity: +/- 2,5 % over a range of 42 mm; +/- 1,25 % over a range of 38 mm dose calibration: with AXUV-1 diodes (PTB) with a sensitivity of,254 A/W dose increments are achieved with a rotating chopper wheel using either linearly or logarithmic spaced openings other parameters: 1-6 mbar pressure,exposure times sec - min

7 What can we learn from bulk lithographic EUV data? Film thickness [nm] Though lithographers are mainly interested in small pattern and pattern profiles, a lot of information can be gained from open frame maesurements: - resist sensitivity and contrast, - unexposed film thickness loss, - resist loss at.75 E - negative tone behavior at higher doses Data at different bake temperatures can be used to extract parameters for resist simulation. The resist image can also be used to characterize the exposure uniformity of the beamline setup and allow easy control of changes in this setup Dosis [mj/cm2] Exposure dose: 1.3 mj/cm 2 Y Axis [mm] Filmthickness [nm] ,1 1, 1, EUV Dose [mj/cm 2 ] High sensitivity, high contrast X Axis [mm] Medium sensitivity, medium contrast Step width: 2mm Height scale: nm Dose Uniformity of BESSY exposure field (3 x 6 mm) from filmthickness measurements of developed resist

8 esults & Discussion I Acrylic MA type II resist with TPS X-flates PAG showed, that the variation of the PAG anion chain length (increasing F- content, increasing absorbance in EUV) has a significant impact on sensitivity in EUV compared to DUV. The shortest anion gave best sensitivity and contrast. Film Thickness [nm] Nonaflate Hexaflate Triflate ,5 2 1,5 contrast Nonaflate Hexaflate Triflate Dose-to-clear [mj/cm 2 ] γ DUV γ EUV D DUV D EUV, dose-to-clear [mj/cm 2 ] 3, 2,8 2,6 2,4 2,2 2, 1,8 1,6 1,4 1,2 1,,8,6,4,2, dose [mj/cm 2 ] dose-to-clear vs. F-content in resist,2,3,4,5,6,7,8,5 Nonaflate Hexaflate Triflate The dose-to-clear increases linearly with the molar fluorine content of the resist F-content in resist formulation [mmol]

9 esults and Discussion II Film Thickness [nm] Acrylic MA type II resist with S- or J-containing cations show a higher sensitivity and a better contrast with the higher EUV absorbing J in the cation. This is also true for the PSS polymers: the J- containing resist is 4-5 times faster in EUV than in DUV. The PSS polymers show all high contrast. PSS Polymer, 5 % PAG 3,5 3 2,5 2 1,5 1,5 TPS Hexaflate DBuPJ Hexaflate Dose-to-clear [mj/cm 2 ] D DUV Film Thickness [nm] D EUV TPS Hexaflate DBuPJ Hexaflate Acrylic MA polymer, 5% PAG γ DUV contrast γ EUV TPS Hexaflate DBuPJ Hexaflate DPJ Triflate 1 1 dose [mj/cm 2 ],1 1 1 dose [mj/cm 2 ]

10 esults and Discussion III Acrylic MA type II resists gives systems with high sensitivity and no netative-tone behavior up to a dose of 25 mj/cm2, which is a Eneg/E ratio of > 3. ne of the reference systems (ESCAP) showed a negative tone behavior at 4 times E. PAG type and photobase can be used to adjust the sensitivity (A: TPS Triflate; B TPS nonaflate + TPS acetate). The acrylic copolymer itself is patternable at doses of 3 mj/cm 2. GPC & I data suggest main chain scissioning. 2 C) without PAG GPC: Film Thickness [nm] A) with PAG B) with PAG & base C B A Mw [1 3 g/mole] tboc group molecular weight vs. EUV dose EUV dose [mj/cm 2 ], dose [mj/cm 2 ] Exposure without PAG (sample C) gives TMAH-developable material without any changes in the content of deblocking groups. FT-I of Sample C after EUV exposure at 6 mj/cm 2

11 esults and Discussion IV 12 acrylic MA type I; 23 % tbu; 5% TPS Hft, base acrylic MA type II; 45 % tbu, 5% TPS Hft, no base FT-I spectrum (type I) before EUV exposure: Film Thickness [nm] ,1 1 1 CH 3 CH 2 C= (SA) C-- CH 2 CH 3 tbu C= (Ester) --tbu dose [mj/cm 2 ] With PAG containing resist, the main mechanismus is the deblocking, however, the polymer itself undergoes also main chain scissioning. t-boc content and base addition give similar effects as known from DUV... with exposure decreases.. FT-I difference spectrum: changes with EUV exposure CH 3 C= (Ester) Bandshift C-- CH 3 tbu --tbu FT-I microscopy is a valid method for the investigation of structure changes with dose. increases.. -CH C= (SA) C= (Acid)

12 Summary & Acknowledgement An EUV beamline has been built at the BESSY GmbH for open frame characterization of basic resist materials and PAGs. A set of polymers with F-, S- and J-containing PAGs has been investigated for their bulk lithographic behavior at 13.4 nm exposure wavelengths. The sensitivities of these resists range from.8 to 11 mj/cm 2, contrast values range from 3 to 14. The EUV absorbance of the PAG anion & cation has an important impact on sensitivity. ecommendations for good combinations of anions and cations could be given. The acrylic MA resist showed no negative tone behavior up to 3 times their dose-to-clear. All acrylic co-polymer resist systems will undergo partial main chain scissioning during EUV exposure. preliminary patterning tests of the acrylic MA type I and II polymers at the EUV-LLC 1x microstepper show the potential for high resolution and moderate LE. The work at BESSY will continue with some emphasis on outgassing and on extraction of resist model parameters. Acrylic MA type I resist: Sensitivity 7.4 mj/cm 2 resolution 8 nm overall LE: 5.2 nm Acrylic MA type II resist: 4 nm lines We thank Ingrid Wiechert for the open frame exposures at BESSY and Donna Connell for the patterning at the EUV-LLC. National funding for the BMBF project 1 M 364A is gratefully acknowledged

Polymer Matrix Effects on EUV Acid Generation

Polymer Matrix Effects on EUV Acid Generation Polymer Matrix Effects on EUV Acid Generation Theodore H. Fedynyshyn, Russell B. Goodman, and Jeanette Roberts # Lincoln Laboratory Massachusetts Institute of Technology # Intel Corporation The Lincoln

More information

Is an EUV Film Quantum Yield of 30 Possible?

Is an EUV Film Quantum Yield of 30 Possible? Is an EUV Film Quantum Yield of 30 Possible? February 21, 2016 Resist TWG San Jose, CA Greg Denbeaux, Amrit Narasimhan, Steven Grzeskowiak, and Robert L. Brainard SUNY Polytechnic Institute Resist TWG

More information

Next: 193nm Lithography

Next: 193nm Lithography Lecture 16 Chemical Engineering for Micro/Nano Fabrication Next: 193nm Lithography Absorption coefficient [1/µm] Absorption of Photoresist Polymers 2.8 Poly-(4-hydroxystyrene) 2.4 Meta-cresol novolak 2.0

More information

EUV-Technology with Discharge EUV-Lamp"

EUV-Technology with Discharge EUV-Lamp EUV-Technology with Discharge EUV-Lamp" Rainer Lebert, Larissa Juschkin, Christian Wies, Bernhard Jägle, Manfred Meisen, Ulrich Bieberle, Willi Neff, Juri Barthel, Konstantin Walter, Klaus Bergmann, Fraunhofer

More information

Current Status of Inorganic Nanoparticle Photoresists

Current Status of Inorganic Nanoparticle Photoresists Prof. Christopher K. ber Department of Materials Science and Engineering, Cornell University, Ithaca NY Current Status of Inorganic Nanoparticle Photoresists Markos Trikeriotis, Marie Krysak, Yeon Sook

More information

Introduction. Photoresist : Type: Structure:

Introduction. Photoresist : Type: Structure: Photoresist SEM images of the morphologies of meso structures and nanopatterns on (a) a positively nanopatterned silicon mold, and (b) a negatively nanopatterned silicon mold. Introduction Photoresist

More information

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source Eric Gullikson Lawrence Berkeley National Laboratory 1 Reflectometry and Scattering Beamline (ALS 6.3.2) Commissioned Fall 1994

More information

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source 3rd International EUVL Symposium NOVEMBER 1-4, 2004 Miyazaki, Japan Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source H. Tanaka, A. Matsumoto, K. Akinaga, A. Takahashi

More information

RLS Trade-Off: Questions about Molecular Size and Quantum Yield

RLS Trade-Off: Questions about Molecular Size and Quantum Yield RLS Trade-Off: Questions about Molecular Size and Quantum Yield Robert Brainard and Craig Higgins Supported by SEMATECH and Rohm and Haas 10/2/08 1 Outline I. Introduction II. III. IV. Effect of Molecular

More information

QsT/ Recently, chemically amplified EUV photoresists have been developed which

QsT/ Recently, chemically amplified EUV photoresists have been developed which , i -..,. Polvmer. Effects on Acid Generation Efficiency Using EUV and DUV Exposures - The mbmitkd amnuaaripihas b Paul Dentinger,* Robert L. Brainard,2 Joseph F. Mackevich,2 Jeffrey M. Guevremont? and

More information

Resist-outgas testing and EUV optics contamination at NIST

Resist-outgas testing and EUV optics contamination at NIST 1 2012 International Workshop on EUVL, Maui, HI Resist-outgas testing and EUV optics contamination at NIST Shannon Hill, Nadir Faradzhev, Charles Tarrio, Steve Grantham, Lee Richter and Tom Lucatorto National

More information

EUVL Optics lifetime and contamination. European Update

EUVL Optics lifetime and contamination. European Update EUVL Optics life and contamination European Update EUVL Symposium 27 TWG Optics Contamination and Life Sapporo Bas Wolschrijn, TNO 1/12 -.5-1 -2-1% productivity 2 4 8 1. EUV intensity [au].5. -.5-1. -2..

More information

Effect of PAG Location on Resists for Next Generation Lithographies

Effect of PAG Location on Resists for Next Generation Lithographies Effect of PAG Location on Resists for Next Generation Lithographies ber Research Group Materials Science & Engineering Ithaca, NY 14853 Development Trends in Microlithography 10 Contact Printer Architectures

More information

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking Improving resist resolution and sensitivity via electric-field enhanced postexposure baking Mosong Cheng, a) Lei Yuan, Ebo Croffie, and Andrew Neureuther Electronics Research Laboratory, University of

More information

A TES Bolometer for THz FT-Spectroscopy

A TES Bolometer for THz FT-Spectroscopy A TES Bolometer for THz FT-Spectroscopy M. Kehrt, J. Beyer, C. Monte, J. Hollandt Physikalisch-Technische Bundesanstalt Abbestraße 2-12, Berlin, Germany E-Mail: Mathias.Kehrt@PTB.de Abstract - We recently

More information

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists INTERFACE '95 This paper was published in the proceedings of the OCG Microlithography Seminar, Interface '95, pp. 217-228. It is made available as an electronic reprint with permission of OCG Microelectronic

More information

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media.

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media. originates from the limited number of options regarding orthogonal solvents, i.e. solvents that do not dissolve or adversely damage a pre-deposited organic materials layer. The simplest strategy to achieve

More information

Damage to Molecular Solids Irradiated by X-ray Laser Beam

Damage to Molecular Solids Irradiated by X-ray Laser Beam WDS'11 Proceedings of Contributed Papers, Part II, 247 251, 2011. ISBN 978-80-7378-185-9 MATFYZPRESS Damage to Molecular Solids Irradiated by X-ray Laser Beam T. Burian, V. Hájková, J. Chalupský, L. Juha,

More information

Takeo Watanabe Center for EUVL, University of Hyogo

Takeo Watanabe Center for EUVL, University of Hyogo Soft X-ray Absorption Spectroscopy using SR for EUV Resist Chemical Reaction Analysis Takeo Watanabe Center for EUVL, University of Hyogo Outline 1) Background 2) Princple of X-ray absorption spectroscopy

More information

World-wide Standardization Effort on Leaching Measurement Methodology

World-wide Standardization Effort on Leaching Measurement Methodology World-wide Standardization Effort on Leaching Measurement Methodology Roel Gronheid 1, Christina Baerts 1, Stefan Caporale 2, Jim Alexander 2, Ben Rathsack 3, Steven Scheer 3, Katsumi Ohmori 4, Bryan Rice

More information

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center Functional Materials for Advanced Patterning Robert D. Allen Business Unit or Product Name IBM Almaden Research Center 2003 IBM Corporation Resists/Materials for Advanced Patterning Trends in Lithography

More information

EUREKA: A new Industry EUV Research Center at LBNL

EUREKA: A new Industry EUV Research Center at LBNL EUREKA: A new Industry EUV Research Center at LBNL Patrick Naulleau Center for X-ray Optics Lawrence Berkeley National Laboratory Berkeley Lab MSD Materials Sciences Division 1 Operating model Core operational

More information

EUV Resist-Fundamental Research

EUV Resist-Fundamental Research EUV Resist-Fundamental Research Akinori Saeki, Hiroki Yamamoto, Takahiro Kozawa, and Seiichi Tagawa The Institute of Scientific and Industrial Research, Osaka University CREST, Japan Science and Technology

More information

Resist material for negative tone development process

Resist material for negative tone development process Resist material for negative tone development process FUJIFILM Corporation Electronic Materials Research Laboratories P-1 Outline 1. Advantages of negative tone imaging for DP 2. Process maturity of negative

More information

Simulation and characterization of surface and line edge roughness in photoresists before and after etching

Simulation and characterization of surface and line edge roughness in photoresists before and after etching Simulation and characterization of surface and line edge roughness in photoresists before and after etching Motivation of this work : Sub 100nm lithographic features often suffer from roughness. Need to

More information

Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication

Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication Benjamen M. Rathsack, Cyrus E. Tabery, Timothy B. Stachowiak, Jeff Albelo 2 and C. Grant Willson Department

More information

Understanding electron energy loss mechanisms in EUV resists using EELS and first-principles calculations

Understanding electron energy loss mechanisms in EUV resists using EELS and first-principles calculations Understanding electron energy loss mechanisms in EUV resists using EELS and first-principles calculations Robert Bartynski Sylvie Rangan Department of Physics & Astronomy and Laboratory for Surface Modification

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 5 MICRO AND NANOPROCESSING TECHNOLOGIES Introduction Ion lithography X-ray lithography Soft lithography E-beam lithography Concepts and processes Lithography systems Masks and resists Chapt.9.

More information

Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography

Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography Suchit Bhattarai Electrical Engineering and Computer Sciences University of California at Berkeley

More information

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law Chris A. Mack Lithoguru.com, 1605 Watchhill Rd, Austin, TX 78703 Abstract Moore s Law, the idea that every

More information

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Manish Chandhok, a Heidi Cao, a Wang Yueh, a Eric Gullikson, b Robert Brainard, c Stewart Robertson c a Intel Corporation,

More information

Development of Photosensitive Polyimides for LCD with High Aperture Ratio. May 24, 2004

Development of Photosensitive Polyimides for LCD with High Aperture Ratio. May 24, 2004 Development of Photosensitive Polyimides for LCD with High Aperture Ratio May 24, 2004 utline Why is polymer dielectric required for TFT LCD? Requirements of the polymer dielectrics What is polyimide?

More information

Laboratory instruction SENSOR DEVICES

Laboratory instruction SENSOR DEVICES Laboratory instruction SENSOR DEVICES Examination: It is compulsory to attend the laboratory work. A set of given questions should be answered and should be handed in by each lab group at the end of the

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XIV, SPIE Vol. 3049, pp. 706-711. It

More information

5. Photochemistry of polymers

5. Photochemistry of polymers 5. Photochemistry of polymers 5.1 Photopolymerization and cross-linking Photopolymerization The fundamental principle of photopolymerization is based on the photoinduced production of a reactive species,

More information

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node Takahiro Kozawa and Seiichi Tagawa The Institute of Scientific and Industrial Research, Osaka University, 8-1

More information

Recent progress in nanoparticle photoresist development for EUV lithography

Recent progress in nanoparticle photoresist development for EUV lithography Recent progress in nanoparticle photoresist development for EUV lithography Kazuki Kasahara ab, Vasiliki Kosma b, Jeremy Odent b, Hong Xu b, Mufei Yu b, Emmanuel P. Giannelis b, Christopher K. Ober b a

More information

Evaluation at the intermediate focus for EUV Light Source

Evaluation at the intermediate focus for EUV Light Source Evaluation at the intermediate focus for EUV Light Source Takashi Suganuma, Georg Soumagne, Masato Moriya, Tamotsu Abe, Akira Sumitani, Akira Endo Extreme Ultraviolet Lithography System Development Association

More information

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Uzodinma Okoroanyanwu, 1 Aiqin Jiang, 2 Kornelia Dittmar, 3 Torsten Fahr, 3 Thomas Laursen, 2 Obert Wood, 1 Kevin Cummings, 2 Christian

More information

Enhanced Performance of Multilayer Optics for Water Window Microscopy

Enhanced Performance of Multilayer Optics for Water Window Microscopy Enhanced Performance of Multilayer Optics for Water Window Microscopy 2016 International Workshop on EUV and soft X-Ray Sources Torsten Feigl, Hagen Pauer, Tobias Fiedler, Marco Perske optix fab GmbH,

More information

EUV Reflectivity measurements on Acktar Sample Magic Black

EUV Reflectivity measurements on Acktar Sample Magic Black Report EUV Reflectivity measurements on Acktar Sample Magic Black S. Döring, Dr. K. Mann Laser-Laboratorium Göttingen e.v. October 28, 2011 Contents 1 Introduction 3 2 Setup 3 3 Measurements 4 4 Conclusion

More information

More on Stochastics and the Phenomenon of Line-Edge Roughness

More on Stochastics and the Phenomenon of Line-Edge Roughness More on Stochastics and the Phenomenon of Line-Edge Roughness Chris A. Mack 34 th International Photopolymer Science and Technology Conference Chiba, Japan, June 28, Conclusions We need more than just

More information

EUV ablation. C. Liberatore1,2, A. Bartnik5, K. Mann4, M. Müller4, L. Pina2, L. Juha3, J. J. Rocca6, A. Endo1, T. Mocek1

EUV ablation. C. Liberatore1,2, A. Bartnik5, K. Mann4, M. Müller4, L. Pina2, L. Juha3, J. J. Rocca6, A. Endo1, T. Mocek1 EUV ablation C. Liberatore1,2, A. Bartnik5, K. Mann4, M. Müller4, L. Pina2, L. Juha3, J. J. Rocca6, A. Endo1, T. Mocek1 1 Hilase Center, Dolni Brezany, Czech Republic 2 Czech Technical University, Prague,

More information

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Yezheng Tao*, Mark Tillack, Kevin Sequoia, Russel Burdt, Sam Yuspeh, and Farrokh Najmabadi University of California, San Diego

More information

Analysis of carbon contamination on EUV mask using CSM/ ICS

Analysis of carbon contamination on EUV mask using CSM/ ICS Analysis of carbon contamination on EUV mask using CSM/ ICS Jae Uk Lee 1, Chang Young Jeong 1, Sangsul Lee 1, Jong Gul Doh 1,, Dong Geun Lee 2, Seong-Sue Kim 2, Han-Ku Cho 2, Seung-yu Rah 3 and Jinho Ahn

More information

The Effect of Water and Confinement on Self-Assembly of

The Effect of Water and Confinement on Self-Assembly of Supporting Information: The Effect of Water and Confinement on Self-Assembly of Imidazolium Based Ionic Liquids at Mica Interface H.-W. Cheng, J.-N. Dienemann, P. Stock, C. Merola, Y.-J. Chen and M. Valtiner*

More information

Chapter 30 X Rays GOALS. When you have mastered the material in this chapter, you will be able to:

Chapter 30 X Rays GOALS. When you have mastered the material in this chapter, you will be able to: Chapter 30 X Rays GOALS When you have mastered the material in this chapter, you will be able to: Definitions Define each of the following terms, and use it in an operational definition: hard and soft

More information

Photolithography 光刻 Part II: Photoresists

Photolithography 光刻 Part II: Photoresists 微纳光电子材料与器件工艺原理 Photolithography 光刻 Part II: Photoresists Xing Sheng 盛兴 Department of Electronic Engineering Tsinghua University xingsheng@tsinghua.edu.cn 1 Photolithography 光刻胶 负胶 正胶 4 Photolithography

More information

Laboratory instruction SENSOR DEVICES

Laboratory instruction SENSOR DEVICES Laboratory instruction SENSOR DEVICES Examination: It is compulsory to attend the laboratory work. A set of given questions should be answered and should be handed in by each lab group at the end of the

More information

High-resolution EUV Microstepper tool for resist testing & technology evaluation

High-resolution EUV Microstepper tool for resist testing & technology evaluation High-resolution EUV Microstepper tool for resist testing & technology evaluation A Brunton, J Cashmore, P Elbourn, G Elliner, M Gower, P Grünewald, M Harman, S Hough, N McEntee, S Mundair, D Rees, P Richards,

More information

Australian Journal of Basic and Applied Sciences. Characteristic of Photocurable Organic/Inorganic Hybrids Utilizing Acid Proliferation Reactions

Australian Journal of Basic and Applied Sciences. Characteristic of Photocurable Organic/Inorganic Hybrids Utilizing Acid Proliferation Reactions AENSI Journals Australian Journal of asic and Applied Sciences ISSN:1991-8178 Journal home page: www.ajbasweb.com Characteristic of Photocurable Organic/Inorganic Hybrids Utilizing Acid Proliferation Reactions

More information

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Photolithography Overview  9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview http://www.intel.com/research/silicon/mooreslaw.htm 1 Moore s law only holds due to photolithography advancements in reducing linewidths 2 All processing to create electric components and circuits rely

More information

X-Rays, Electrons and Lithography: Fundamental Processes in Molecular Radiation Chemistry

X-Rays, Electrons and Lithography: Fundamental Processes in Molecular Radiation Chemistry X-Rays, Electrons and Lithography: Fundamental Processes in Molecular Radiation Chemistry D. Frank Ogletree Molecular Foundry, Berkeley Lab Berkeley CA USA Our Berkeley Lab Team EUV Lithography and Pattern

More information

Lecture 8. Photoresists and Non-optical Lithography

Lecture 8. Photoresists and Non-optical Lithography Lecture 8 Photoresists and Non-optical Lithography Reading: Chapters 8 and 9 and notes derived from a HIGHLY recommended book by Chris Mack, Fundamental Principles of Optical Lithography. Any serious student

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Overview of EUV Lithography and EUV Optics Contamination

Overview of EUV Lithography and EUV Optics Contamination Accelerating the next technology revolution Overview of EUV Lithography and EUV Optics Contamination Andrea Wüest NIST Contamination WS Gaithersburg, MD June 2, 2009 Copyright 2008 SEMATECH, Inc. SEMATECH,

More information

FUNDAMENTAL PARAMETER METHOD FOR THE LOW ENERGY REGION INCLUDING CASCADE EFFECT AND PHOTOELECTRON EXCITATION

FUNDAMENTAL PARAMETER METHOD FOR THE LOW ENERGY REGION INCLUDING CASCADE EFFECT AND PHOTOELECTRON EXCITATION Copyright (c)jcpds-international Centre for Diffraction Data 2002, Advances in X-ray Analysis, Volume 45. 511 FUNDAMENTAL PARAMETER METHOD FOR THE LOW ENERGY REGION INCLUDING CASCADE EFFECT AND PHOTOELECTRON

More information

EUV and Soft X-Ray Optics

EUV and Soft X-Ray Optics EUV and Soft X-Ray Optics David Attwood University of California, Berkeley Cheiron School September 2011 SPring-8 1 The short wavelength region of the electromagnetic spectrum n = 1 δ + iβ δ, β

More information

Exposure strategies for polymethyl methacrylate from in situ x-ray absorption near edge structure spectroscopy

Exposure strategies for polymethyl methacrylate from in situ x-ray absorption near edge structure spectroscopy Exposure strategies for polymethyl methacrylate from in situ x-ray absorption near edge structure spectroscopy X. Zhang, a) C. Jacobsen, S. Lindaas, and S. Williams b) Department of Physics, State University

More information

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu X-ray Photoelectron Spectroscopy Introduction Qualitative analysis Quantitative analysis Charging compensation Small area analysis and XPS imaging

More information

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press Errata, by Chris Mack, chris@lithoguru.com While teaching out of this book at the University

More information

Lecture 3: Light absorbance

Lecture 3: Light absorbance Lecture 3: Light absorbance Perturbation Response 1 Light in Chemistry Light Response 0-3 Absorbance spectrum of benzene 2 Absorption Visible Light in Chemistry S 2 S 1 Fluorescence http://www.microscopyu.com

More information

Hiroshi Ito and Mark Sherwood. IBMAlmaden Research Center 650 Harry Road San Jose, CA 95120, U S. A ibm. com.

Hiroshi Ito and Mark Sherwood. IBMAlmaden Research Center 650 Harry Road San Jose, CA 95120, U S. A ibm. com. Journal of Photopolymer Science and Technology Volumel2,Number4(1999) 625-636 1999TAPJ Investigation of Deep UV Solvents, Chemistries, Resists by NMR: Residual and PAG Decomposition in Casting Film Hiroshi

More information

Development of Lift-off Photoresists with Unique Bottom Profile

Development of Lift-off Photoresists with Unique Bottom Profile Transactions of The Japan Institute of Electronics Packaging Vol. 8, No. 1, 2015 [Technical Paper] Development of Lift-off Photoresists with Unique Bottom Profile Hirokazu Ito, Kouichi Hasegawa, Tomohiro

More information

Lecture 14 Advanced Photolithography

Lecture 14 Advanced Photolithography Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes

More information

Measurement of EUV scattering from Mo/Si multilayer mirrors

Measurement of EUV scattering from Mo/Si multilayer mirrors Measurement of EUV scattering from Mo/Si multilayer mirrors N. Kandaka, T. Kobayashi, T. Komiya, M. Shiraishi, T. Oshino and K. Murakami Nikon Corp. 3 rd EUVL Symposium Nov. 2-4 2004 (Miyazaki, JAPAN)

More information

Technology offer: Environmentally friendly holographic recording material

Technology offer: Environmentally friendly holographic recording material Technology offer: Environmentally friendly holographic recording material Technology offer: Environmentally friendly holographic recording material SUMMARY Our research group has developed a new photopolymer

More information

Infrared Spectroscopy

Infrared Spectroscopy Infrared Spectroscopy IR Spectroscopy Used to identify organic compounds IR spectroscopy provides a 100% identification if the spectrum is matched. If not, IR at least provides information about the types

More information

Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems

Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems Seiichi Tagawa The Institute of Scientific and Industrial

More information

SiO 2 Buffer-Etch Processes with a TaN Absorber for EUV Mask Fabrication

SiO 2 Buffer-Etch Processes with a TaN Absorber for EUV Mask Fabrication SiO 2 Buffer-Etch Processes with a TaN Absorber for EUV Mask Fabrication Florian Letzkus *a, Joerg Butschke a, Corinna Koepernik a, Christian Holfeld b, Josef Mathuni c, Lutz Aschke d, Frank Sobel d a

More information

Propose a structure for an alcohol, C4H10O, that has the following

Propose a structure for an alcohol, C4H10O, that has the following Propose a structure for an alcohol, C4H10O, that has the following 13CNMR spectral data: Broadband _ decoupled 13CNMR: 19.0, 31.7, 69.5 б DEPT _90: 31.7 б DEPT _ 135: positive peak at 19.0 & 31.7 б, negative

More information

Measurement of the role of secondary electrons in EUV resist exposures

Measurement of the role of secondary electrons in EUV resist exposures Measurement of the role of secondary electrons in EUV resist exposures June 12, 213 International Workshop on EUV Lithography Greg Denbeaux a, Justin Torok, a Ryan Del Re, a Henry Herbol, a Sanjana Das,

More information

Photosensitive polyimides without side chain: negative-tone reaction development patterning

Photosensitive polyimides without side chain: negative-tone reaction development patterning Photosensitive polyimides without side chain: negative-tone reaction development patterning Toshiyuki yama Department of Advanced Materials Chemistry, Faculty of Engineering, Yokohama ational University

More information

structure and paramagnetic character R. Kakavandi, S-A. Savu, A. Caneschi, T. Chassé, M. B. Casu Electronic Supporting Information

structure and paramagnetic character R. Kakavandi, S-A. Savu, A. Caneschi, T. Chassé, M. B. Casu Electronic Supporting Information At the interface between organic radicals and TiO 2 (110) single crystals: electronic structure and paramagnetic character R. Kakavandi, S-A. Savu, A. Caneschi, T. Chassé, M. B. Casu Electronic Supporting

More information

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source 1 Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source D. Nakamura, T. Akiyama, K. Tamaru, A. Takahashi* and T. Okada Graduate School of Information Science and Electrical Engineering,

More information

Wavelength-Dependent Photochemistry of Oxime. Ester Photoinitiators

Wavelength-Dependent Photochemistry of Oxime. Ester Photoinitiators Supporting Information Wavelength-Dependent Photochemistry of Oxime Ester Photoinitiators David E. Fast,,# Andrea Lauer,,,# Jan P. Menzel,, Anne-Marie Kelterer, Georg Gescheidt,, * and Christopher Barner-Kowollik,,+

More information

EUV Lithography Towards Industrialization

EUV Lithography Towards Industrialization EUV Lithography Towards Industrialization Wim van der Zande, Director of Research, ASML Dublin Meeting November 2014 Slide 2 Agenda EUV benefit and status at customers Towards higher productivity Summary

More information

Modeling of Environmentally Friendly Siloxane- Based Lithography Solvents

Modeling of Environmentally Friendly Siloxane- Based Lithography Solvents Modeling of Environmentally Friendly Siloxane- Based Lithography Solvents Gregory N. Toepperwein, Dan Rynearson, Juan J. de Pablo Christine Ouyang, Chris Ober 17 November 2011 1 Motivation Drive to reduce

More information

EUV Reflectometry for Determining the Optical Properties of Photoresists and Underlayer Materials Upon Irradiation at 13.5-nm

EUV Reflectometry for Determining the Optical Properties of Photoresists and Underlayer Materials Upon Irradiation at 13.5-nm EUV Reflectometry for Determining the Optical Properties of Photoresists and Underlayer Materials Upon Irradiation at 13.5-nm Grace H. Ho, 1 Fu-H. Kang, 1 Yu-H. Shih, 1 Hok-S. Fung, Hwang-W. Fu, Rikimaru

More information

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists Nickhil Jakatdar 1, Junwei Bao, Costas J. Spanos Dept. of Electrical Engineering and Computer Sciences, University

More information

Revision Guide. Chapter 7 Quantum Behaviour

Revision Guide. Chapter 7 Quantum Behaviour Revision Guide Chapter 7 Quantum Behaviour Contents CONTENTS... 2 REVISION CHECKLIST... 3 REVISION NOTES... 4 QUANTUM BEHAVIOUR... 4 Random arrival of photons... 4 Photoelectric effect... 5 PHASE AN PHASORS...

More information

Molecular Glass Resist with Organic Developer

Molecular Glass Resist with Organic Developer 1 Molecular Glass Resist with Organic Developer James M. Blackwell 1,2 Armando Ramirez 1 Hiroki Nakagawa 1,3 Yoshi Hishiro 3 1 Intel's Molecules for Advanced Patterning(MAP) Program, LBNL Molecular Foundry,

More information

X-Rays From Laser Plasmas

X-Rays From Laser Plasmas X-Rays From Laser Plasmas Generation and Applications I. C. E. TURCU CLRC Rutherford Appleton Laboratory, UK and J. B. DANCE JOHN WILEY & SONS Chichester New York Weinheim Brisbane Singapore Toronto Contents

More information

CHAPTER 7 SUMMARY OF THE PRESENT WORK AND SUGGESTIONS FOR FUTURE WORK

CHAPTER 7 SUMMARY OF THE PRESENT WORK AND SUGGESTIONS FOR FUTURE WORK 161 CHAPTER 7 SUMMARY OF THE PRESENT WORK AND SUGGESTIONS FOR FUTURE WORK 7.1 SUMMARY OF THE PRESENT WORK Nonlinear optical materials are required in a wide range of important applications, such as optical

More information

Overview of X-Ray Fluorescence Analysis

Overview of X-Ray Fluorescence Analysis Overview of X-Ray Fluorescence Analysis AMPTEK, INC., Bedford, MA 01730 Ph: +1 781 275 2242 Fax: +1 781 275 3470 sales@amptek.com 1 What is X-Ray Fluorescence (XRF)? A physical process: Emission of characteristic

More information

Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation

Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation G. P. Patsis, A. Tserepi, I. Raptis, N. Glezos, and E. Gogolides a) Institute of Microelectronics,

More information

X-ray Fluorescence Imaging Following Synchrotron Beam Excitation

X-ray Fluorescence Imaging Following Synchrotron Beam Excitation Conference on Applied Digital Imaging Techniques for Understanding the Palimpsest X-ray Fluorescence Imaging Following Synchrotron Beam Excitation Uwe Bergmann Stanford Synchrotron Radiation Laboratory

More information

Novel Molecular Materials Based on Noria and Double Calixarene (Beryllus) for EB and EUV Resist Systems

Novel Molecular Materials Based on Noria and Double Calixarene (Beryllus) for EB and EUV Resist Systems 2010 International Workshop on EUV Lithography Makena Beach Golf Resort June 21-25, 25, 2010, Maui, Hawaii Novel Molecular Materials Based on Noria and Double Calixarene (Beryllus) for EB and EUV Resist

More information

Optimizing RLS. Seiichi Tagawa. The Institute of Scientific and Industrial Research Osaka University and JST-CREST

Optimizing RLS. Seiichi Tagawa. The Institute of Scientific and Industrial Research Osaka University and JST-CREST Optimizing RLS Seiichi Tagawa The Institute of Scientific and Industrial Research Osaka University and JST-CREST IEUVI Resist TWG October 2, 2008 Acknowledgement Prof. Takahiro Kozawa Dr. Akinori Kozawa

More information

Radiation Protection Considerations for the Cryogenic In-Vacuum Undulator of the EMIL Project at BESSY

Radiation Protection Considerations for the Cryogenic In-Vacuum Undulator of the EMIL Project at BESSY Radiation Protection Considerations for the Cryogenic In-Vacuum Undulator of the EMIL Project at BESSY Yvonne Bergmann, Klaus Ott Helmholtz- Zentrum Berlin BESSY II Radiation Protection Department yvonne.bergmann@helmholtz-berlin.de

More information

Understanding Semiconductor Lasers

Understanding Semiconductor Lasers 27 April 2010 age 1 of 8 Experiment II Understanding Semiconductor Lasers The purpose of this experiment is to explore the basic characteristics of semiconductor lasers. We will measure and calculate the

More information

Photoelectric effect

Photoelectric effect Laboratory#3 Phys4480/5480 Dr. Cristian Bahrim Photoelectric effect In 1900, Planck postulated that light is emitted and absorbed in discrete but tiny bundles of energy, E = hν, called today photons. Here

More information

Study on Improved Resolution of Thick Film Resist (Verification by Simulation)

Study on Improved Resolution of Thick Film Resist (Verification by Simulation) Study on Improved Resolution of Thick Film Resist (Verification by Simulation) Yoshihisa Sensu, Atsushi Sekiguchi, Yasuhiro Miyake Litho Tech Japan Corporation 2-6-6 Namiki, Kawaguchi, Saitama, 332-0034,

More information

A short pulsed laser cleaning system for EUVL tool

A short pulsed laser cleaning system for EUVL tool A short pulsed laser cleaning system for EUVL tool Masami Yonekawa, Hisashi Namba and Tatsuya Hayashi Nanotechnology & Advanced System Research Laboratories, Canon inc. 23-10, Kiyohara-Kogyodanchi, Utsunomiya-shi,

More information

Exploration of non-chemically amplified resists based on chain-scission mechanism for 193 nm lithography

Exploration of non-chemically amplified resists based on chain-scission mechanism for 193 nm lithography Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 2010 Exploration of non-chemically amplified resists based on chain-scission mechanism for 193 nm lithography

More information

Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation

Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation Study of Deprotection Reaction during Exposure in hemically Amplified Resists for Lithography Simulation Yasuhiro Miyake, Mariko Isono and Atsushi Sekiguchi Litho Tech Japan orporation, 2-6-6-201, Namiki,

More information

Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008

Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008 Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008 Richard London rlondon@llnl.gov Workshop on Interaction of Free Electron Laser Radiation with Matter Hamburg This work

More information

Optical Storage and Surface Relief Gratings in Azo-Compounds

Optical Storage and Surface Relief Gratings in Azo-Compounds Optical Storage and Surface Relief Gratings in Azo-Compounds Cleber R. Mendonça University of São Paulo Instituto de Física de São Carlos Brazil Azoaromatic compounds photo-isomerization polymers guest

More information

Design of Attenuated Phase-shift shift Mask with ITO Absorber for Extreme Ultraviolet Lithography

Design of Attenuated Phase-shift shift Mask with ITO Absorber for Extreme Ultraviolet Lithography MA-P18 7 EUVL Symposium Design of Attenuated Phase-shift shift Mask with Absorber for Extreme Ultraviolet Lithography Hee Young Kang and Chang Kwon Hwangbo Department of Physics, Inha University, Incheon

More information

Proportional Counters

Proportional Counters Proportional Counters 3 1 Introduction 3 2 Before we can look at individual radiation processes, we need to understand how the radiation is detected: Non-imaging detectors Detectors capable of detecting

More information