Development of Lift-off Photoresists with Unique Bottom Profile

Size: px
Start display at page:

Download "Development of Lift-off Photoresists with Unique Bottom Profile"

Transcription

1 Transactions of The Japan Institute of Electronics Packaging Vol. 8, No. 1, 2015 [Technical Paper] Development of Lift-off Photoresists with Unique Bottom Profile Hirokazu Ito, Kouichi Hasegawa, Tomohiro Matsuki, and Shiro Kusumoto Device Integration Materials Laboratory, Fine Electronic Materials Research Laboratories, Yokkaichi Research Center, JSR Corporation, 100, Kawajiri-cho, Yokkaichi, Mie , Japan (Received August 3, 2015; accepted October 29, 2015) Abstract Lift-off method for metal patterning has been widely used in the variety of electronic device fabrication processes such as semiconductor packaging, MEMS, and LED manufacturing. The big advantages of using lift-off method are the cost saving and the process simplification. However there is a serious issue that the deposited metal pattern has unexpected edge crown after photoresist stripping. In order to achieve desired metal patterns, two types of novel lift-off photoresist were developed, one is a single-layer negative tone photoresist and the other is a double-layer positive tone photoresist. After exposure and development processes, both the photoresists show unique and well-controlled undercut profile, which enables to form a targeted metal configuration after stripping. This paper reports the key parameter of photoresist and how to control the undercut profile. Keywords: Lift-off, Photoresist, Undercut, Metal Wire, Sputter 1. Introduction Lift-off method for metal patterning has been widely used in the variety of electronic device fabrication processes such as semiconductor packaging,[1] MEMS,[2] and LED[3] manufacturing. The big advantages of using lift-off method are the cost saving and the process simplification, compared to conventional metal etching method which requires different approach depending on metal species.[4] In the lift-off processes, photoresist with reverse taper profile is patterned on a wafer after coating, exposure, and development processes. And then the designated metal is deposited. In the last step, the photoresist is stripped by a chemical stripper. During the stripping process, and the metal sitting on the top of the photoresist is removed while directly sitting on the wafer remains and becomes a metal pattern, thus inversed metal pattern toward photoresist pattern is fabricated.[5] Generally, e-beam deposition or sputtering is used to form thin metal film, and the sputtering process is often adopted as a deposition method due to several advantages such as good adhesion between metal and substrate and good metal thickness uniformity. After metal sputtered, the metal is deposited not only on the top surface of the photoresist but also directly on the wafer surface without the photoresist. The metal is also deposited on the sidewall of the photoresist because of the isotropic property and it may bridge with the metal sitting on the wafer surface. That makes the photoresist stripping more difficult. Even though the photoresist is successfully able to be stripped, the metal deposited on the substrate sometimes has unexpected edge crown as shown in Fig. 1. To avoid the metal bridge and the edge crown, the photoresist with well-con- Fig. 1 Lift-off process with sputtering against pattern profiles of photoresists. 62 Copyright The Japan Institute of Electronics Packaging

2 Ito et al.: Development of Lift-off Photoresists with Unique Bottom Profile (2/6) trolled undercut profile is required. In addition, as the photoresist is usually heated up to around 100 C during sputtering process, heat resistance is another key to maintain the unique undercut profile and strippability. To meet such the requirements, two types of novel liftoff photoresists were developed, one is a single layer negative tone, and the other is a double layer positive tone. This paper focuses on the photoresist design concept and key parameters to control the undercut profile. 2. Design Concept 2.1 Single layer negative tone photoresist for lift-off The negative tone photoresist consists of phenolic resin (Mw: 7000, novolak type) as a main component, cross-linkers, photo-acid generator (PAG), additives, and solvent. The design concept is shown in Fig. 2. Phenolic resin has high heat resistance. Irradiation with i-line generates acid from PAG, and the acid works as a catalyst of cross-linking reaction between polymers and cross-linkers. Post exposure bake (PEB) promotes three-dimensional cross-linking network in the exposed area which results in less solubility in alkaline developer. The crosslinking distribution in the depth direction of the photoresist film was optimized and it is the key parameter of the photoresist with undercut profile. 2.2 Double layer positive tone photoresist Double layer positive tone photoresist is composed of two coating layers. The upper layer is positive tone photoresist containing phenolic resin as a main polymer and naphtoquinone diazide (NQD) as a photo-active compound (PAC). NQD acts as a dissolution inhibitor for alkaline soluble phenolic resin, and promotes dissolution in the exposed area. NQD is transformed to indene carboxylic acid by i-line exposure as shown in Fig. 3. The upper layer shows adequate alkaline solubility contrast between exposed/un-exposed area. The under layer contains an acrylic polymer as a main component which has higher dissolution rate than upperlayer to form undercut profile with alkaline developer. The under layer also contains a small amount of NQD to enable undercut width adjustment by developing time. The solvent used for the upper layer does not dissolve the under layer polymer, otherwise, the desired undercut profile is not obtained due to the intermixing between the two layers. 3. Experimental Section The conditions of standard process are summarized in Table 1. Each photoresist either single layer or double layer process was coated on a Si wafer to target the optimum coating film thickness, and then pre-baked. For double layer photoresist, the second layer was also coated and pre-baked again. Exposure was conducted with an i-line stepper. Before development, the negative tone photoresist was baked at 95 C for 120 sec (PEB; post exposure bake). Finally, the photoresist film was developed with 2.38 wt% TMAH aqueous solution. Cu sputtering evalua- Table 1 Standard process conditions. Fig. 2 Cross-linking system of single layer negative tone photoresist. Fig. 3 Alkali solubility of positive tone photoresist with NQD compound. Item Single layer negative tone 1 st layer thickness 2.5 μm 1 st soft bake 95 C 90 sec Double layer positive tone 1.0 μm (Under layer) 120 C 180 sec (Under layer) 2 nd layer thickness 2.0 μm (Upper layer) 2 nd soft bake 110 C 180 sec (Upper layer) Exposure i-line srepper (N.A = 0.63, σ = 0.54) PEB 95 C 120 sec Development Rinse Sputtering Stripping 60 sec/2.38%tmah 30 sec/di water 23 C 300 sec/nmp 63

3 Transactions of The Japan Institute of Electronics Packaging Vol. 8, No. 1, 2015 Fig. 4 Undercut width and height of single layer negative tone photoresist (left) and double layer positive tone photoresist(right). tion was conducted with batch-type sputtering system SX-200, and photoresist was stripped with NMP at 23 C for 5 min. Pattern profile was observed by using FE-SEM SU The averages (n = 3) of undercut width and height are defined in Fig Results and Discussion 4.1 Single layer negative tone photoresist As described in the introduction, the density control of crosslink is the key of lift-off photoresist, Three studies were discussed: (1) cross-linker loading amount, (2) absorbance at 365 nm, and (3) process condition such as exposure dose, developing time, and bake temperature Study for loading amount of cross-linker Comparison of pattern profile variation with different loading amount of cross-linker (Fig. 5) was studied. Exposure dose was adjusted to obtain comparable pattern profile with almost the same undercut width. Sample 1 with lowest loading amount of cross-linker showed gradual slope profile with reverse taper, and sample 2 and 3 both with higher loading amount showed steep slope profile at the top, and deep undercut at the bottom. The heat resistance and strippability were evaluated as well because the amount of cross-linker affects these properties. The sample 3 with highest amount of cross-linker showed the highest heat resistance up to 100 C without compromising strippability. The results explain that adequate cross-linking density is necessary to obtain suitable undercut profile and excellent heat resistance as well Study for absorbance at 365nm Exposed i-line on the top of photoresist goes through the bottom of that while being absorbed by the film. Thus, the absorption at 365 nm makes the distribution of exposure intensity in the depth direction, resulting in the distribution of crosslinking density. Figure 6 shows the pattern profile of sample 4-7 with different absorption at 365 nm. Exposure dose was adjusted to obtain comparable pattern profile with almost the same undercut width. As absorption at 365 nm increases with higher exposure dose, the slope at the top of pattern profile becomes steeper. This Fig. 5 Cross-section SEM observation of samples 1-3 with different loading amount of cross-linker. Fig. 6 Cross-section SEM observation of samples 4-7 with different absorbance at 365 nm. Fig. 7 SEM Cross-section observation of sample 6 at various exposure dose. result indicates that desired undercut profile can be obtained by adjusting the value of absorption and exposure dose Study for process condition Figure 7 shows the pattern profile of sample 6 at various exposure doses. Increased exposure dose make the undercut width and height smaller because of the proceeding of cross-link reaction. Higher dose exposure results in pattern profile gentle slope and reverse taper, rather than steep slope at the top and deep undercut at the bottom. Figure 8 shows the pattern profile of the sample 6 at various developing time. As developing time becomes longer, the undercut width becomes larger without changing the undercut height. These results indicate that pattern profile with desired undercut width and height was obtained by selecting appropriate exposure dose and 64

4 Ito et al.: Development of Lift-off Photoresists with Unique Bottom Profile (4/6) Fig. 8 Cross-section SEM observation of sample 6 at various developing time. Fig. 10 SEM Cross-section observation of patterning resolution of sample 6. Fig. 11 Heat resistance and strippability of sample 6. Fig. 9 Pre-bake (left) and PEB (right) temperature margin of sample 6. developing time. Figure 9 shows the undercut width and height of the sample 6 at different temperature of pre-bake and post exposure bake (PEB). These graphs show that the sample 6 has enough pre-bake and PEB temperature margin for practical use as a lift-off photoresist. The following sections describe single layer negative tone photoresist (sample 6) performance data such as fine pitch application, heat resistance, strippability, and lift-off result Fine pitch application As shown in Fig. 6, at a standard condition with 250 mj/ cm 2, the undercut profile is 2.9 μm width which cannot result in 6 μm line width. In order to form higher resolution pattern, the exposure dose higher than 250 mj/cm 2 was attempted. Figure 10 shows pattern profile of the sample 6 at 420 mj/cm 2. L/S = 1.5 μm/0.5 μm pattern is formed with simple reverse taper profile Heat resistance and strippability Figure 11 shows pattern profile and strippability of the sample 6 before and after post bake for one hour on a hot plate. After baking at 100 C, the sample 6 showed no change in undercut profile and strippability. However, after baking at 120 C the photoresist is not strippable with NMP at 23 C. These results show that heating up to 100 C during sputtering does not change lift-off performance. Fig. 12 SEM micrographs before Cu sputtering (left) and after Cu sputtering followed by stripping (right), with sample 6 as a lift-off photoresist Lift-off performance for sputtering Deposition of Cu by sputtering was tested with single layer negative tone lift-off photoresist. Figure 12 shows SEM micrographs before and after Cu sputtering with the sample 6. After stripping, the photoresist and Cu sit on photoresist were stripped, and only the Cu sit on the wafer remained. These results indicate that the single layer negative tone photoresist we developed is useful to carry out high quality metal deposition by sputtering. 4.2 Double layer positive tone photoresist Under layer dissolution rate is the key of double layer positive tone lift-off photoresist, several key factors have been studied: (1) NQD loading amount, (2) process condition such as exposure dose, developing time, and bake temperature Study for polymer solubility in TMAH soution The pattern profile and solubility in TMAH solution of sample 8 (upper layer) and sample 9-11 (under layer) have been studied as shown in Fig. 13. The sample 9 without NQD compound has been exhibited with high solubility in 65

5 Transactions of The Japan Institute of Electronics Packaging Vol. 8, No. 1, 2015 Fig. 13 SEM Cross-section observation of sample 8 (upper layer) and samples 9-11 (under layer). Fig st (left) and 2 nd (right) pre-bake temperature margin of sample 8 (upper layer) and 10 (under layer). Fig. 14 SEM observation of sample 8 (upper layer) and 10 (under layer) at different exposure dose. Fig. 17 SEM Cross-section observation of patterning resolution of sample 8 (upper layer) and 10 (under layer). Fig. 15 SEM observation of sample 8 (upper layer) and 10 (under layer) at different developing time. Fig. 18 Heat resistance and strippability of sample 8 (upper layer) and 10 (under layer). TMAH solution. With small change of developing time gave a drastic effect on undercut width. The sample 10 and 11 both with NQD compounds showed a wide developing time margin because of alkaline solubility inhibiting effect derived by NQD. Especially the sample 10, comparing with the sample 11, contains a small amount of NQD compounds and showed a straight profile of under layer, thus the sample 10 is expected to form undercut profile without peeling during fine pitch patterning Study for process condition Figure 14 shows the pattern profiles of the sample 8 (upper layer) and 10 (under layer) at various exposure doses. As exposure dose increases, the undercut width became larger with same undercut height. Figure 15 shows pattern profile at various developing time. As developing time becomes longer, the undercut width became larger. Figure 16 shows the undercut width at various temperature of 1 st and 2 nd pre-bake. The graph indicates that double layer lift-off photoresist with the sample 8 (upper layer) and 10 (under layer) has enough pre-bake temperature margin for practical use. The following sections describe double layer positive tone photoresists (sample 8 and 10) performance data such as fine pitch application, heat resistance, strippability, and lift-off result Fine pitch application Figure 17 shows fine pitch patterning profile of the sample 8 (upper layer) and 10 (under layer). The L/S = 1.5 μm/0.5 μm patterns were formed by optimizing lithographic conditions Heat resistance and strippability Figure 18 shows pattern profile and strippability of double layer photoresist using the sample 8 (upper layer) and 10 (under layer) after post bake for one hour on a hot plate. After baking at 100 C, the undercut profile and strippability were maintained while baking at 120 C caused upper layer pattern profile deformation. The results indicate that thermal treatment up to 100 C during sputtering does not change lift-off performance Lift-off performance for sputtering Cu deposition by sputtering process was tested with 66

6 Ito et al.: Development of Lift-off Photoresists with Unique Bottom Profile (6/6) Fig. 19 SEM micrographs before Cu sputtering (left) and after Cu sputtering followed by stripping (right), with sample 8 (upper layer) and 10 (under layer) as a lift-off photoresist. double layer positive tone lift-off photoresist. Figure 19 shows SEM micrographs before and after Cu sputtering with the sample 8 (upper layer) and 10 (under layer). After stripping, the photoresist was stripped with the Cu sitting on the photoresist. The results indicate that the double layer positive tone photoresist is useful to carry out high quality metal deposition by sputtering. 5. Summary and Conclusion Two types of novel lift-off photoresist were developed, one is a single-layer negative tone photoresist and the other is a double-layer positive tone photoresist. Both the photoresists show unique and well-controlled undercut profile and enable to form a designated metal configuration after stripping. Desired pattern profiles of the photoresists were obtained by selecting appropriate exposure doses and developing time. Especially single-layer negative tone type shows higher heat resistance, and doublelayer positive tone type shows better strippability. The newly developed photoresists are expected to contribute to the progress of metal patterning in the variety of electronic device fabrication processes. References [1] C. S. Premachandran, N. Rangnathan, S. Mohanraj, C. S. Choong, and M. K. Iyer, A Vertical Wafer Level Packaging Using Trough Hole Filled Via Interconnect by Lift Off Polymer Method for MEMS and 3D Stacking Applications, Proceedings - Electronic Components & Technology Conference, Vol. 55, Issue 2, pp , [2] X.-Y. Wang, C.-Y. Lee, C.-J. Peng, P.-Y. Chen, and P.-Z. Chang, A micrometer scale and low temperature PZT thick film MEMS process utilizing an aerosol deposition method, Sensors and Actuators A, Vol. 143, pp , [3] D. Steigerwald, J. Bhat, D. Collins, R. Flecher, M. Holcomb, M. Ludowise, P. Martin, and S. Rudaz, Illumination with solid state lighting technology, IEEE J. Sel. Top. Quant., Vol. 8, pp , [4] Y. Fu, L.-L. Ye, and J. Liu, Thick film patterning by lift-off process using double-coated single photoresists, Materials Letters, Vol. 76, pp , [5] A. Voigt, M. Heinrich, K. Hauck, R. Mientus, G. Gruetzner, M. Töpper, and O. Ehrmann, A single layer negative tone lift-off photo resist for patterning a magnetron sputtered Ti/Pt/Au contact system and for solder bumps, Microelectronic Engineering, Vol , pp , Hirokazu Ito Kouichi Hasegawa Tomohiro Matsuki Shiro Kusumoto 67

Introduction. Photoresist : Type: Structure:

Introduction. Photoresist : Type: Structure: Photoresist SEM images of the morphologies of meso structures and nanopatterns on (a) a positively nanopatterned silicon mold, and (b) a negatively nanopatterned silicon mold. Introduction Photoresist

More information

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Photolithography Overview  9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview http://www.intel.com/research/silicon/mooreslaw.htm 1 Moore s law only holds due to photolithography advancements in reducing linewidths 2 All processing to create electric components and circuits rely

More information

Multilayer Wiring Technology with Grinding Planarization of Dielectric Layer and Via Posts

Multilayer Wiring Technology with Grinding Planarization of Dielectric Layer and Via Posts Tani et al.: Multilayer Wiring Technology with Grinding Planarization (1/6) [Technical Paper] Multilayer Wiring Technology with Grinding Planarization of Dielectric Layer and Via Posts Motoaki Tani, Kanae

More information

Lecture 8. Photoresists and Non-optical Lithography

Lecture 8. Photoresists and Non-optical Lithography Lecture 8 Photoresists and Non-optical Lithography Reading: Chapters 8 and 9 and notes derived from a HIGHLY recommended book by Chris Mack, Fundamental Principles of Optical Lithography. Any serious student

More information

Photosensitive Polyimide for Packaging Applications

Photosensitive Polyimide for Packaging Applications Journal of Photopolymer Science and Technology Volume 28, Number 1 (2015) 73 77 2015SPST Photosensitive Polyimide for Packaging Applications Masao Tomikawa, Ryoji kuda, and Hiroyuki hnishi Electronic &

More information

Study on Improved Resolution of Thick Film Resist (Verification by Simulation)

Study on Improved Resolution of Thick Film Resist (Verification by Simulation) Study on Improved Resolution of Thick Film Resist (Verification by Simulation) Yoshihisa Sensu, Atsushi Sekiguchi, Yasuhiro Miyake Litho Tech Japan Corporation 2-6-6 Namiki, Kawaguchi, Saitama, 332-0034,

More information

Adhesion Improvement on Smooth Cu Wiring Surfaces of Printed Circuit Boards

Adhesion Improvement on Smooth Cu Wiring Surfaces of Printed Circuit Boards [Technical Paper] Adhesion Improvement on Smooth Cu Wiring Surfaces of Printed Circuit Boards Motoaki Tani*, Shinya Sasaki*, and Keisuke Uenishi** *Next-Generation Manufacturing Technologies Research Center,

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Recent progress in nanoparticle photoresist development for EUV lithography

Recent progress in nanoparticle photoresist development for EUV lithography Recent progress in nanoparticle photoresist development for EUV lithography Kazuki Kasahara ab, Vasiliki Kosma b, Jeremy Odent b, Hong Xu b, Mufei Yu b, Emmanuel P. Giannelis b, Christopher K. Ober b a

More information

Development of Photosensitive Polyimides for LCD with High Aperture Ratio. May 24, 2004

Development of Photosensitive Polyimides for LCD with High Aperture Ratio. May 24, 2004 Development of Photosensitive Polyimides for LCD with High Aperture Ratio May 24, 2004 utline Why is polymer dielectric required for TFT LCD? Requirements of the polymer dielectrics What is polyimide?

More information

Optical Proximity Correction

Optical Proximity Correction Optical Proximity Correction Mask Wafer *Auxiliary features added on mask 1 Overlay Errors + + alignment mask wafer + + photomask plate Alignment marks from previous masking level 2 (1) Thermal run-in/run-out

More information

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks Hongseong Sohn and John Tracy Akrion Systems 6330 Hedgewood Drive, Suite 150 Allentown, PA 18106, USA Abstract

More information

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography February 26, 2009 Shinji Tarutani FUJIFILM Corporation Research & Development Management Headquarters Electronic Materials Research

More information

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media.

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media. originates from the limited number of options regarding orthogonal solvents, i.e. solvents that do not dissolve or adversely damage a pre-deposited organic materials layer. The simplest strategy to achieve

More information

Thin Wafer Handling Challenges and Emerging Solutions

Thin Wafer Handling Challenges and Emerging Solutions 1 Thin Wafer Handling Challenges and Emerging Solutions Dr. Shari Farrens, Mr. Pete Bisson, Mr. Sumant Sood and Mr. James Hermanowski SUSS MicroTec, 228 Suss Drive, Waterbury Center, VT 05655, USA 2 Thin

More information

Photolithography 光刻 Part II: Photoresists

Photolithography 光刻 Part II: Photoresists 微纳光电子材料与器件工艺原理 Photolithography 光刻 Part II: Photoresists Xing Sheng 盛兴 Department of Electronic Engineering Tsinghua University xingsheng@tsinghua.edu.cn 1 Photolithography 光刻胶 负胶 正胶 4 Photolithography

More information

Current Status of Inorganic Nanoparticle Photoresists

Current Status of Inorganic Nanoparticle Photoresists Prof. Christopher K. ber Department of Materials Science and Engineering, Cornell University, Ithaca NY Current Status of Inorganic Nanoparticle Photoresists Markos Trikeriotis, Marie Krysak, Yeon Sook

More information

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Dry Etching Zheng Yang ERF 3017,   MW 5:15-6:00 pm Dry Etching Zheng Yang ERF 3017, email: yangzhen@uic.edu, MW 5:15-6:00 pm Page 1 Page 2 Dry Etching Why dry etching? - WE is limited to pattern sizes above 3mm - WE is isotropic causing underetching -

More information

Simulation and characterization of surface and line edge roughness in photoresists before and after etching

Simulation and characterization of surface and line edge roughness in photoresists before and after etching Simulation and characterization of surface and line edge roughness in photoresists before and after etching Motivation of this work : Sub 100nm lithographic features often suffer from roughness. Need to

More information

Top down and bottom up fabrication

Top down and bottom up fabrication Lecture 24 Top down and bottom up fabrication Lithography ( lithos stone / graphein to write) City of words lithograph h (Vito Acconci, 1999) 1930 s lithography press Photolithography d 2( NA) NA=numerical

More information

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography 1 Reference 1. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (2001) 2. - (2004) 3. Semiconductor Physics and Devices-

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

Citation Bram Lips, Robert Puers, (2016), Three step deep reactive ion etch for high density trench etching Journal of Physics: Conference Series, 757, 012005. Archived version Author manuscript: the content

More information

Pattern Transfer- photolithography

Pattern Transfer- photolithography Pattern Transfer- photolithography DUV : EUV : 13 nm 248 (KrF), 193 (ArF), 157 (F 2 )nm H line: 400 nm I line: 365 nm G line: 436 nm Wavelength (nm) High pressure Hg arc lamp emission Ref: Campbell: 7

More information

Introduction to Photolithography

Introduction to Photolithography http://www.ichaus.de/news/72 Introduction to Photolithography Photolithography The following slides present an outline of the process by which integrated circuits are made, of which photolithography is

More information

Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication

Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication Benjamen M. Rathsack, Cyrus E. Tabery, Timothy B. Stachowiak, Jeff Albelo 2 and C. Grant Willson Department

More information

Passionately Innovating With Customers To Create A Connected World

Passionately Innovating With Customers To Create A Connected World Passionately Innovating With Customers To Create A Connected World Multi Die Integration Can Material Suppliers Meet the Challenge? Nov 14, 2012 Jeff Calvert - R&D Director, Advanced Packaging Technologies

More information

Resist material for negative tone development process

Resist material for negative tone development process Resist material for negative tone development process FUJIFILM Corporation Electronic Materials Research Laboratories P-1 Outline 1. Advantages of negative tone imaging for DP 2. Process maturity of negative

More information

Investigating extremely low resistance ohmic contacts to silicon carbide using a novel test structure

Investigating extremely low resistance ohmic contacts to silicon carbide using a novel test structure Investigating extremely low resistance ohmic contacts to silicon carbide using a novel test structure Author Pan, Yue, M. Collins, Aaron, Algahtani, Fahid, W. Leech, Patrick, K. Reeves, Geoffrey, Tanner,

More information

520/ Photolithography (II) Andreas G. Andreou

520/ Photolithography (II) Andreas G. Andreou 520/580.495 Photolithography (II) Andreas G. Andreou Lecture notes from Positive Photoresists and Photolithography by R. Darling http://www.engr.washington.edu/~cam/processes A.G. Andreou 2000 1 Lecture

More information

IC Fabrication Technology

IC Fabrication Technology IC Fabrication Technology * History: 1958-59: J. Kilby, Texas Instruments and R. Noyce, Fairchild * Key Idea: batch fabrication of electronic circuits n entire circuit, say 10 7 transistors and 5 levels

More information

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Lithography for Semiconductor Manufacturing SPIE Vol. 3741, pp. 148-160. It is made

More information

ZEP520 ZEP520. Technical Report. ZEON CORPORATION Specialty Materials Division. High Resolution Positive Electron Beam Resist.

ZEP520 ZEP520. Technical Report. ZEON CORPORATION Specialty Materials Division. High Resolution Positive Electron Beam Resist. Technical Report ZEP52 ZEP52 Ver.1.2 Mar.21 ZEONREX Electronic Chemicals High Resolution Positive Electron Beam Resist ZEP52 ZEON CORPORATION Specialty Materials Division Headquarters R&D Center Furukawa

More information

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XVI, SPIE Vol. 3678, pp. 1-1011. It

More information

Carrier Transport by Diffusion

Carrier Transport by Diffusion Carrier Transport by Diffusion Holes diffuse ÒdownÓ the concentration gradient and carry a positive charge --> hole diffusion current has the opposite sign to the gradient in hole concentration dp/dx p(x)

More information

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

Methodology of modeling and simulating line-end shortening effects in deep-uv resist Methodology of modeling and simulating line-end shortening effects in deep-uv resist Mosong Cheng*, Ebo Croffie, Andrew Neureuther Electronics Research Laboratory Department of Electrical Engineering and

More information

DIFFUSION OF ACID AND ACTIVATION ENERGY OF POSITIVE CHEMICAL AMPLIFICATION RESIST

DIFFUSION OF ACID AND ACTIVATION ENERGY OF POSITIVE CHEMICAL AMPLIFICATION RESIST Journal of Photopolymer Science and Technology Volume 6, Number 4(1993) 505-514 1993TAPJ DIFFUSION OF ACID AND ACTIVATION ENERGY OF POSITIVE CHEMICAL AMPLIFICATION RESIST Koi 7ASAKAWA Toshiba Research

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

Composition and Photochemical Mechanisms of Photoresists

Composition and Photochemical Mechanisms of Photoresists OpenStax-CNX module: m25525 1 Composition and Photochemical Mechanisms of Photoresists Andrew R. Barron This work is produced by OpenStax-CNX and licensed under the Creative Commons Attribution License

More information

Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation

Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation Study of Deprotection Reaction during Exposure in hemically Amplified Resists for Lithography Simulation Yasuhiro Miyake, Mariko Isono and Atsushi Sekiguchi Litho Tech Japan orporation, 2-6-6-201, Namiki,

More information

Photosensitive polyimides without side chain: negative-tone reaction development patterning

Photosensitive polyimides without side chain: negative-tone reaction development patterning Photosensitive polyimides without side chain: negative-tone reaction development patterning Toshiyuki yama Department of Advanced Materials Chemistry, Faculty of Engineering, Yokohama ational University

More information

Effect of PAG Location on Resists for Next Generation Lithographies

Effect of PAG Location on Resists for Next Generation Lithographies Effect of PAG Location on Resists for Next Generation Lithographies ber Research Group Materials Science & Engineering Ithaca, NY 14853 Development Trends in Microlithography 10 Contact Printer Architectures

More information

Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process

Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process Mandar Bhave, Kevin Edwards, Carlton Washburn Brewer Science, Inc., 2401 Brewer Dr., Rolla, MO 65401,

More information

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y T u t o r (Summer 1994) Swing Curves Chris A. Mack, FINLE Technologies, Austin, Texas In the last edition of this column, we saw that exposing a photoresist involves the propagation

More information

Dainippon Screen Mfg. Co., Ltd , Takamiya, Hikone, Shiga , Japan. IMEC vzw, Kapeldreef 75, B-3001 Leuven, Belgium

Dainippon Screen Mfg. Co., Ltd , Takamiya, Hikone, Shiga , Japan. IMEC vzw, Kapeldreef 75, B-3001 Leuven, Belgium Solid State Phenomena Vols. 145-146 (2009) pp 285-288 Online available since 2009/Jan/06 at www.scientific.net (2009) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.145-146.285

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis Dr. E. A. Leone BACKGRUND ne trend in the electronic packaging industry

More information

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking Improving resist resolution and sensitivity via electric-field enhanced postexposure baking Mosong Cheng, a) Lei Yuan, Ebo Croffie, and Andrew Neureuther Electronics Research Laboratory, University of

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XIV, SPIE Vol. 3049, pp. 706-711. It

More information

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists INTERFACE '95 This paper was published in the proceedings of the OCG Microlithography Seminar, Interface '95, pp. 217-228. It is made available as an electronic reprint with permission of OCG Microelectronic

More information

A Parameter Extraction Framework for DUV Lithography Simulation

A Parameter Extraction Framework for DUV Lithography Simulation A Parameter Extraction Framework for DUV Lithography Simulation Nickhil Jakatdar 1, Junwei Bao, Costas J. Spanos Dept. of Electrical Engineering and Computer Sciences, University of California at Berkeley,

More information

Nanotechnology Fabrication Methods.

Nanotechnology Fabrication Methods. Nanotechnology Fabrication Methods. 10 / 05 / 2016 1 Summary: 1.Introduction to Nanotechnology:...3 2.Nanotechnology Fabrication Methods:...5 2.1.Top-down Methods:...7 2.2.Bottom-up Methods:...16 3.Conclusions:...19

More information

Photoresist Profile. Undercut: negative slope, common for negative resist; oxygen diffusion prohibits cross-linking; good for lift-off.

Photoresist Profile. Undercut: negative slope, common for negative resist; oxygen diffusion prohibits cross-linking; good for lift-off. Photoresist Profile 4-15 Undercut: negative slope, common for negative resist; oxygen diffusion prohibits cross-linking; good for lift-off undercut overcut Overcut: positive slope, common to positive resist,

More information

Techniken der Oberflächenphysik (Techniques of Surface Physics)

Techniken der Oberflächenphysik (Techniques of Surface Physics) Techniken der Oberflächenphysik (Techniques of Surface Physics) Prof. Yong Lei & Dr. Yang Xu (& Liying Liang) Fachgebiet 3D-Nanostrukturierung, Institut für Physik Contact: yong.lei@tu-ilmenau.de; yang.xu@tu-ilmenau.de;

More information

High Optical Density Photomasks For Large Exposure Applications

High Optical Density Photomasks For Large Exposure Applications High Optical Density Photomasks For Large Exposure Applications Dan Schurz, Warren W. Flack, Makoto Nakamura Ultratech Stepper, Inc. San Jose, CA 95134 Microlithography applications such as advanced packaging,

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

Additive technologies for the patterning of fine metal tracks onto flexible substrates

Additive technologies for the patterning of fine metal tracks onto flexible substrates Additive technologies for the patterning of fine metal tracks onto flexible substrates Marc P.Y. Desmulliez m.desmulliez@hw.ac.uk MIcroSystems Engineering Centre (MISEC) Institute of Integrated Systems

More information

Supplementary information

Supplementary information Supplementary information Improving the Working Efficiency of a Triboelectric Nanogenerator by the Semimetallic PEDOT:PSS Hole Transport Layer and its Application in Self- Powered Active Acetylene Gas

More information

1 INTRODUCTION 2 SAMPLE PREPARATIONS

1 INTRODUCTION 2 SAMPLE PREPARATIONS Chikage NORITAKE This study seeks to analyze the reliability of three-dimensional (3D) chip stacked packages under cyclic thermal loading. The critical areas of 3D chip stacked packages are defined using

More information

Electron-beam SAFIER process and its application for magnetic thin-film heads

Electron-beam SAFIER process and its application for magnetic thin-film heads Electron-beam SAFIER process and its application for magnetic thin-film heads XiaoMin Yang, a) Harold Gentile, Andrew Eckert, and Stanko R. Brankovic Seagate Research Center, 1251 Waterfront Place, Pittsburgh,

More information

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process MEEN 489-500 Nanoscale Issues in Manufacturing Lithography Lecture 1: The Lithographic Process 1 Discuss Reading Assignment 1 1 Introducing Nano 2 2 Size Matters 3 3 Interlude One-The Fundamental Science

More information

Introduction to Electron Beam Lithography

Introduction to Electron Beam Lithography Introduction to Electron Beam Lithography Boštjan Berčič (bostjan.bercic@ijs.si), Jožef Štefan Institute, Jamova 39, 1000 Ljubljana, Slovenia 1. Introduction Electron Beam Lithography is a specialized

More information

Supplementary Information

Supplementary Information ature anotechnology reference number: AO-06110617A Growth and alignment of polyaniline nanofibres with superhydrophobic, superhydrophilic and other properties an-rong Chiou 1,2,3, Chunmeng Lu 1, Jingjiao

More information

Direct write electron beam patterning of DNA complex thin films

Direct write electron beam patterning of DNA complex thin films Direct write electron beam patterning of DNA complex thin films R. A. Jones, W. X. Li, H. Spaeth, and A. J. Steckl a Nanoelectronics Laboratory, University of Cincinnati, Cincinnati, Ohio 45221-0030 Received

More information

Aqueous base developable: easy stripping, high aspect ratio negative photoresist for optical and proton beam lithography

Aqueous base developable: easy stripping, high aspect ratio negative photoresist for optical and proton beam lithography Microsyst Technol (2008) 14:1423 1428 DOI 10.1007/s00542-008-0571-x TECHNICAL PAPER Aqueous base developable: easy stripping, high aspect ratio negative photoresist for optical and proton beam lithography

More information

Robust shadow-mask evaporation via lithographically controlled undercut

Robust shadow-mask evaporation via lithographically controlled undercut Robust shadow-mask evaporation via lithographically controlled undercut B. Cord, a C. Dames, and K. K. Berggren Massachusetts Institute of Technology, Cambridge, Massachusetts 02139-4309 J. Aumentado National

More information

Semi-Additive Process for Low Loss Build-Up Material in High Frequency Signal Transmission Substrates

Semi-Additive Process for Low Loss Build-Up Material in High Frequency Signal Transmission Substrates Semi-Additive Process for Low Loss Build-Up Material in High Frequency Signal Transmission Substrates Fei Peng 1, Naomi Ando 2, Roger Bernards 1, Bill Decesare 1 1 MacDermid Enthone Electronics Solutions,

More information

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists Nickhil Jakatdar 1, Junwei Bao, Costas J. Spanos Dept. of Electrical Engineering and Computer Sciences, University

More information

CHAPTER 6: Etching. Chapter 6 1

CHAPTER 6: Etching. Chapter 6 1 Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching

More information

Superconducting Through-Silicon Vias for Quantum Integrated Circuits

Superconducting Through-Silicon Vias for Quantum Integrated Circuits Superconducting Through-Silicon Vias for Quantum Integrated Circuits Mehrnoosh Vahidpour, William O Brien, Jon Tyler Whyland, Joel Angeles, Jayss Marshall, Diego Scarabelli, Genya Crossman, Kamal Yadav,

More information

Wet and Dry Etching. Theory

Wet and Dry Etching. Theory Wet and Dry Etching Theory 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer

More information

DUV Positive Photoresists

DUV Positive Photoresists Journal of Photopolymer Science and Technology Volume 5, Number 1(1992) 207-216 Factors Affecting the Performance of Chemically DUV Positive Photoresists Amplified James W. Thackeray, Theodore H. Fedynyshyn,

More information

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices 1 UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices Katsuya Watanabe

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf by S. Wolf Chapter 15 ALUMINUM THIN-FILMS and SPUTTER-DEPOSITION 2004 by LATTICE PRESS CHAPTER 15 - CONTENTS Aluminum Thin-Films Sputter-Deposition Process Steps Physics of Sputter-Deposition Magnetron-Sputtering

More information

Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography

Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography MCROU,!~'O~C ELSEVER Microelectronic Engineering 46 (1999) 173-177 Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography DUV Holographic L. A. Wang*, C. H. Lin and J. H. Chen nstitute

More information

Process Modeling and Thermal/Mechanical Behavior of ACA/ACF Type Flip-Chip Packages

Process Modeling and Thermal/Mechanical Behavior of ACA/ACF Type Flip-Chip Packages Process Modeling and Thermal/Mechanical Behavior of ACA/ACF Type Flip-Chip Packages K. N. Chiang Associate Professor e-mail: knchiang@pme.nthu.edu.tw C. W. Chang Graduate Student C. T. Lin Graduate Student

More information

2.76/2.760 Multiscale Systems Design & Manufacturing

2.76/2.760 Multiscale Systems Design & Manufacturing 2.76/2.760 Multiscale Systems Design & Manufacturing Fall 2004 MOEMS Devices for Optical communications system Switches and micromirror for Add/drops Diagrams removed for copyright reasons. MOEMS MEMS

More information

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device Hyung-Seop Kim, Yong Min Cho, Byoung-Ho Lee Semiconductor R&D Center, Device Solution Business, Samsung

More information

Especial Bump Bonding Technique for Silicon Pixel Detectors

Especial Bump Bonding Technique for Silicon Pixel Detectors Especial Bump Bonding Technique for Silicon Pixel Detectors E. Cabruja, M. Bigas, M. Ullán, G. Pellegrini, M. Lozano Centre Nacional de Microelectrònica Spain Outline Motivation Summary of bump bonding

More information

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning SEMI Texas Spring Forum May 21, 2013 Austin, Texas Author / Company / Division / Rev. / Date A smartphone today has

More information

Vol. 116 (2009) ACTA PHYSICA POLONICA A No. 3

Vol. 116 (2009) ACTA PHYSICA POLONICA A No. 3 Vol. 116 (2009) ACTA PHYSICA POLONICA A No. 3 Optical and Acoustical Methods in Science and Technology Measurements of the Attenuation by Means of the Scattered Light of Planar Waveguide Structure, Basing

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Spring 2009.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Spring 2009. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE143 Professor Ali Javey Spring 2009 Exam 1 Name: SID: Closed book. One sheet of notes is allowed.

More information

EECS C245 ME C218 Midterm Exam

EECS C245 ME C218 Midterm Exam University of California at Berkeley College of Engineering EECS C245 ME C218 Midterm Eam Fall 2003 Prof. Roger T. Howe October 15, 2003 Dr. Thara Srinivasan Guidelines Your name: SOLUTIONS Circle your

More information

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD Supplementary figure 1 Graphene Growth and Transfer Graphene PMMA FeCl 3 DI water Copper foil CVD growth Back side etch PMMA coating Copper etch in 0.25M FeCl 3 DI water rinse 1 st transfer DI water 1:10

More information

Chen et al. (45) Date of Patent: Dec. 5, (54) EFFECTIVE PHOTORESIST STRIPPING (56) References Cited

Chen et al. (45) Date of Patent: Dec. 5, (54) EFFECTIVE PHOTORESIST STRIPPING (56) References Cited (12) United States Patent USOO7144673B2 (10) Patent No.: US 7,144.673 B2 Chen et al. (45) Date of Patent: Dec. 5, 2006 (54) EFFECTIVE PHOTORESIST STRIPPING (56) References Cited PROCESS FOR HIGH DOSAGE

More information

Next: 193nm Lithography

Next: 193nm Lithography Lecture 16 Chemical Engineering for Micro/Nano Fabrication Next: 193nm Lithography Absorption coefficient [1/µm] Absorption of Photoresist Polymers 2.8 Poly-(4-hydroxystyrene) 2.4 Meta-cresol novolak 2.0

More information

Soft Baking Effect on Lithographic Performance by Positive Tone Photosensitive Polyimide

Soft Baking Effect on Lithographic Performance by Positive Tone Photosensitive Polyimide Journal of Photopolymer Science and Technology Volume 23, Number 6(2010) 775-779 2010CPST Soft Baking Effect on Lithographic Performance by Positive Tone Photosensitive Polyimide Tomoyuki Yuba, Ryoji Okuda,

More information

Considerations on using SU-8 as a construction material for high aspect ratio structures

Considerations on using SU-8 as a construction material for high aspect ratio structures Considerations on using SU-8 as a construction material for high aspect ratio structures Joost Melai, Cora Salm, Sander Smits, Victor M. Blanco Carballo, Jurriaan Schmitz and Ben Hageluken Abstract This

More information

Nanoscale Issues in Materials & Manufacturing

Nanoscale Issues in Materials & Manufacturing Nanoscale Issues in Materials & Manufacturing ENGR 213 Principles of Materials Engineering Module 2: Introduction to Nanoscale Issues Top-down and Bottom-up Approaches for Fabrication Winfried Teizer,

More information

Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010

Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010 Approved by: Process Engineer / / / / Equipment Engineer 1 SCOPE The purpose of this document is to detail the use of the ASML PAS 5500 Stepper. All users are expected to have read and understood this

More information

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law Chris A. Mack Lithoguru.com, 1605 Watchhill Rd, Austin, TX 78703 Abstract Moore s Law, the idea that every

More information

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction q Integrated circuits: many transistors on one chip q Very Large Scale Integration (VLSI): bucketloads! q Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

FEM Modeling of Shrinkage Effects in Negative Tone Photoresists

FEM Modeling of Shrinkage Effects in Negative Tone Photoresists FEM Modeling of Shrinkage Effects in Negative Tone Photoresists Master s Thesis/Masterarbeit in the field of Computational Engineering by Sean Dominic D Silva Department Informatik Lehrstuhl für Informatik

More information

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 24 Tai-Chang Chen University of Washington EDP ETCHING OF SILICON - 1 Ethylene Diamine Pyrocatechol Anisotropy: (100):(111) ~ 35:1 EDP is very corrosive, very carcinogenic,

More information

Ultrasonic Anisotropic Conductive Films (ACFs) Bonding of Flexible Substrates on Organic Rigid Boards at Room Temperature

Ultrasonic Anisotropic Conductive Films (ACFs) Bonding of Flexible Substrates on Organic Rigid Boards at Room Temperature Ultrasonic Anisotropic Conductive Films (ACFs) Bonding of Flexible Substrates on Organic Rigid Boards at Room Temperature Kiwon Lee, Hyoung Joon Kim, Il Kim, and Kyung Wook Paik Nano Packaging and Interconnect

More information

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Manish Chandhok, a Heidi Cao, a Wang Yueh, a Eric Gullikson, b Robert Brainard, c Stewart Robertson c a Intel Corporation,

More information

FINE PATTERN ETCHING OF SILICON USING SR-ASSISTED IONIZATION OF CF4 GAS

FINE PATTERN ETCHING OF SILICON USING SR-ASSISTED IONIZATION OF CF4 GAS Technical Paper Journal of Photopolymer Science and Technology Volume 6, Number 4(1993) 617-624 1993TAPJ FINE PATTERN ETCHING OF SILICON USING SR-ASSISTED IONIZATION OF CF4 GAS YASUO NARA, YosHIHto SUGITA,

More information

Nano fabrication by e-beam lithographie

Nano fabrication by e-beam lithographie Introduction to nanooptics, Summer Term 2012, Abbe School of Photonics, FSU Jena, Prof. Thomas Pertsch Nano fabrication by e-beam lithographie Lecture 14 1 Electron Beam Lithography - EBL Introduction

More information

Three Approaches for Nanopatterning

Three Approaches for Nanopatterning Three Approaches for Nanopatterning Lithography allows the design of arbitrary pattern geometry but maybe high cost and low throughput Self-Assembly offers high throughput and low cost but limited selections

More information