EUV Resist-Fundamental Research

Size: px
Start display at page:

Download "EUV Resist-Fundamental Research"

Transcription

1 EUV Resist-Fundamental Research Akinori Saeki, Hiroki Yamamoto, Takahiro Kozawa, and Seiichi Tagawa The Institute of Scientific and Industrial Research, Osaka University CREST, Japan Science and Technology Agency, c/o Osaka University SEMATECH/ISMI Symposium Japan 2009 September 17, Hyatt Regency Tokyo

2 Contents Current Status of EUV Resists 1. Origin, meaning and solution of RLS trade-off problem nm half-pitch target Future Prospect of EUV Resists Pattern formation mechanism and simulation of EUV resists Maximization of the chemical gradient at the image boundary Four topics 1. Difference between EUV and EB Resists 2. Shot noise of chemically amplified resists 3. Difference between Molecular and Polymer Resists 4. New types of resists

3 Current Status of EUV Resists (1) The Origin of RLS Problem CARs with High Concentration of Quenchers From the early stage of the industrial use of chemically amplified resists, amines have been contained in CARs for measures against socalled post-exposure delay effects due to surface contamination from airborne amines. Recently very high concentrations of amines are required for getting high resolution CARs. High concentration of amines improves resolution and LER, but sensitivity decreases and trade-off among resolution, line edge roughness and sensitivity ( so-called RLS tradeoff) was induced.

4 Current Status of EUV Resists (2) RLS Trade-off Problem and Solution Trade-off relation among resolution, LER and Sensitivity (RLS Trade-off) was observed experimentally and simulated later under some asumption. Experiments: Brainard et al., Proc. SPIE (2004), Pawloski et al., Proc. SPIE (2004), Wallow et al., Proc. SPIE (2008) and more. Simulations(EUV reaction mechanisms were neglected): G.M. Gallatin, Proc. SPIE (2005), D. Van Steenwinckel et al., Proc. SPIE (2007), R.L. Bristol, Proc. SPIE (2007) Is the relation specific? No or Yes No fundamental differences exist among ArF, EB and EUV resists once latent acid image formation.but acid formation process doesn t obey the relation. Acid formation mechanisms are essential in solving the trade-off problem. Tagawa, 1st European Workshop on Resist Limitations, Erlangen, 14 December, (2004), Kozawa et al., J. Vac. Sci. Technol. B23 (2005) Kozawa and Tagawa, J. Appl. Phys. 99 (2006) , Tagawa, MNC Plenary Lecture (2007), Saeki, kozawa, Tagawa, Appl. Phys. Express 2(2009) LER Sensitivity Resolution Recent research on mechanisms of EUV CARs clearly shows how to solve RLS trade-off in EUV resists.

5 Current Status of EUV Resists (3) New Targets after RLS Trade-off Problem Resolution and sensitivity are getting close to the requirements. LER is still far from the requirement. The reduction of LER is the most serious problem in EUV resist development. Sensitivity is still very important problem, because the sensitivity of resists and the intensity of exposure dose are complementary. Higher sensitivity resist makes possible to use smaller light source and to decrease in operation costs.

6 Current Status of EUV Resists (4)

7 Future Prospect of EUV Resists Pattern formation mechanism and simulation of EUV resists The increase in pattern formation efficiency (chemical gradient) at the image boundary Four topics 1. Difference between EUV and EB Resists 2. Shot noise of chemically amplified resists 3. Difference between Molecular and Polymer Resists 4. New types of resists

8 Pattern Formation Mechanisms of EUV Resists (1) Exposure (Tool) Resist pattern formation processes Interaction of radiation (photon, electron etc.) with materials Acid generation Accumulated energy profile Latent acid image Processes depend on exposure tool Acid diffusion,deprotection reaction Acid catalyzed image (Latent image after PEB) Development Other Treatments: Rinse, Vapor smoothing, Hardbake, Ectch, Ozonation, etc. The improvement at each stage is required cloth to its physical and chemical limit. The integration of improvement at each stage without interference is strongly needed for steady progress in the development of next generation EUV resists. Pattern Formation Mechanisms are important and essential in escaping interference.

9 Resist Comparison of Energy Absorption and Reaction Mechanisms among KrF, ArF, EB and EUV CARs Energy Absorption Mechanisms Energy absorption process Main energy absorber KrF,ArF Lambert s law Acid generator EB Pattern Formation Mechanisms of EUV Resists (3) Bethe equation Energy absorption is proportinal to electron density di( z) dz = αi ( z) Mainly Polymer EUV Lambert s law Mainly Polymer di( z) = αi ( z) dz S. Tagawa, MNC2007 Plenary Lecture Resist KrF,ArF EB EUV Reaction Mechanisms Main Initial reactions of acid generation Excitation of acid generators Mainly isolated spur reaction 1. Ionization of polymers 2. Dissociative electron attachment of acid generators 3. Geminate recombination of protons and anions Mainly multi-spur reactions 1. Ionization of polymers 2. Dissociative electron attachment of acid generators 3. Geminate recombination of protons and anions

10 Pattern Formation Mechanisms of EUV Resists (5) RLS Trade-off Relation Simulated by Monte Carlo and Dissolution Simulations (a) (b) (c) z y x (d) (e) (f) Fig. 1. Snapshots of the performed Monte Carlo and dissolution simulations. (a, d) Initial spatial distribution of protons (green) and counter anion (red) produced by EB exposure. (b, e) Latent images after PEB colorized by residence time t (small t: blue, large t: red. see text for the detail. A front side of the latent image is cut to visualize the inside of the latent image). (c, f) Positivetype line pattern after development. The pictures of (a) (c) and (d) (f) correspond to the exposed line width of 5 and 10 nm, respectively. The exposure dose and development time were 50 mc/cm 2 and 60 s, respectively. The axes x, y, and z in the left side of (a) represent the directions of exposed line width, line length, and film thickness, respectively. The black wire box is a nm 3 cuboid. L Minimum LER 3σ [nm] (a) R40 Line width [nm] 60 L 1 S Exposure dose [μc/cm 2 ] Minimum LER 3σ [nm] (b) R40 Line width [nm] A. Saeki, T. Kozawa, and S. Tagawa, Appl. Phys. Express 2 (2009) S 100 Exposure dose [μc/cm 2 ] Fig. 2. RLS relationship of developed line pattern at optimized PEB and development time. The exposed line widths of (a) and (b) are 30 and 10 nm, respectively. The area enclosed by white dotted lines represents the failure in development. The trade-off RLS relationship is successfully reproduced under the optimized condition of PEB and development time.

11 (a) Minimum LER : 3σ [nm] Pattern Formation Mechanisms of EUV Resists (6) Line edge roughness after development studied by Monte Carlo and dissolution siumulation 20 s 60 s 120 s Development time Exposure dose [μc/cm 2 ] (b) Minimum LER : 3σ [nm] Increase in line width 0 nm 10 nm 20 nm 30 nm Exposure dose [μc/cm 2 ] Exposure dose dependence of minimum LER (3σ) for different (a) development times and (b) increases in line width. Resist thickness:100 nm, 75 kev EB,100μC/cm2: EUV, 20 mj/cm 2 (from calculated) (25 mj/cm 2 from experiment) In one of the ideal case, 1.2 nm LER (high freq.) is possible. A. Saeki, T. Kozawa, S. Tagawa, H. B. Cao, H. Deng, M. J. Leeson, Nanotechnology, 19(2007)15705

12 Minimum LER dependence on exposure dose. Minimum LER 3σ [ nm] (a) LW=20 nm 30 nm, β= nm, β= nm, β= (b) LW=30 nm 30 nm, β= nm, β= nm, β= Exposure dose [μc/cm 2 ] Exposure dose [μc/cm 2 ] Fig. 3. Minimum LER dependence on exposure dose. The developed line widths (LW) are (a) 20 and (b) 30 nm. The exposed line widths are 30 nm (blue diamonds), 10 nm (yellow green triangles), and 5 nm (red squares). The solid lines are fitting curve expressed by the following equation. α( ) β LER = Dose LER is proportional to the inverse of square root of exposure dose at moderate acid diffusion length. 1 LER Dose Dependence on exposure dose becomes severer with the decrease in LW. A. Saeki, T. Kozawa, and S. Tagawa, Appl. Phys. Express 2 (2009)

13 Pattern Formation Mechanisms of EUV Resists (8) Simulation Based on Resist Pattern Formation Mechanisms of EB/EUV Chemically Amplified Resists The LERs of a positive-tone CAR for EB/EUV lithographies after development were investigated by Monte Carlo simulation and a dissolution model based on a 1 nm mesh. LER(high frequency) of less than 1.2 nm is achievable by fine-tuning exposure dose, acid diffusion length (PEB time), and process conditions (development time, amine concentration, and activation energy) even though the proton and counter anion, which are attracted by each other (geminate pairs) and form an acid, are initially separated by 5.6 nm on average. The trade-off RLS relationship is successfully reproduced under the optimized condition of PEB and development time. LER is proportional to the inverse of square root of exposure dose at moderate acid diffusion length. Note that this is an ideal condition (1 nm mesh: excluding polymer effect, cluster, swelling in development etc.) in PHS base resists (not the best resist ). The improvement at each pattern formation stage is required cloth to its physical and chemical limit. The integration of improvement at each stage without interference is strongly needed for steady progress in the development of next generation EUV resists.

14 Resolution LER Exposure source dependent Exposure source independent Sensitivity The increase in pattern formation efficiency is required to simultaneously meet the requirements for RLS. Pattern formation efficiency = Absorption efficiency of incident energy (mainly absorption coefficient of polymer) Quantum yield of acid Efficiency of catalytic chain reaction X X Limited by side wall degradation Limited by secondary electron emission efficiency Limited by diffusion-controlled rate for chemical reaction Other factors:development and many other treatments etc..

15 Intensity of EUV (I) I = αi z Energy Absorption Process of EUV Resists Absorption coefficient (α) PHS : 3.8 μm -1 Interaction of EUV photon with CARs -spatial distribution- EUV photon (92.5 ev) photon Electron > IP Electron < IP z Inelastic mean free path <1 nm mean free path at electron with energy > IP Ionization + e - e - + Thermalization Ionization + * + Excitation Ionization e - Multi spur effect e - Thermalization e e e - e - Resist Thermalization Length 4.0 nm for PHS The number of secondary electrons is estimated expermentally. 4.2 for PHS PHS with 10 wt% TPS-tf Acid molecules per photon: 2.6 (Kozawa et al. J.Vac.Sci. Tecnnol.,B25(2007) 2481) Experimental value: 2.5 (Hirose et al.,jap.j.appl.phys,part 2(2007)

16 Pattern Formation Mechanisms of EUV Resists (4) Probability density of anion (/nm) Acid Generation in Resists by EB and EUV (a) EB Distance from ionization point (nm) Probability density of anion (/nm) (b) EUV Distance from EUV absorption point (nm) Probability density of anion generated in PHS with 10 wt% TPS-tf by (a) EB and (b) EUV Acid generation efficiency (ionization) 0.74 per ionization 0.62 per ionization G(acid) = 3.3 (3.3 acids per 100 ev) Kozawa et al. J.Vac.Sci.Tecnnol. B24,3055(2006) 2.6 acids per one photon(92.5 ev) in PHS Kozawa and Tagawa, J.Vac.Sci.Tecnnol.,B25(2007) 2481 Experimental value: 2.5 Hirose et al., Jap.J.Appl. Phys, Part 2 Let. & Express Let.,46,L979(2007) Difference between acid generation of EB and EUV resists is small, although energy absorption mechanism is different.

17 Sensitivity and Shot Noise of CAR and non-car 5 mj/nm 2 is about 3 EUV photons/(1 nm) 2. It is very difficult to overcome shot noise problem in non-car. In CAR, the recombination reaction of acids and quenchers occurs in image boundary. LER is determined at image boundary. Acid diffusion decreases shot noise in CAR. 1 nm Initial acid distribution (high E a resist) Concentration (nm -3 ) Aerial image of EUV Acid distribution after neutralization Initial acid distribution Quencher distribution after neutralization No flare nm Resolution blur Distance (nm) The aerial image of incident EUV (arb. unit) and the initial acid distribution (molecule nm -3 ).. (Jpn. J. Appl. Phys. 47 (2008) 4926). 7% flare

18

19

20 Conclusion 1. The development of EUV resists has steadily progressed based on understanding of the patterning mechanisms of EUV CARs. Many methods for improvement of resist performance such as the increase in the PAG concentration, energy absorption, acid yield are investigated at each stages of resist patterning. 2. The sensitivity and resolution is getting close to the requirements. However, LER is still away from the target. The simulation based on reaction mechanisms of EUV CARs shows how to get 1.2 nm LER in ideal conditions (1 nm mesh). LER contains factors independent of RLS trade-off relation such as molecular interactions (clustering) and swelling in development processes. The difference between molecular and polymer resists becomes essential to LER reduction, after LER decreases the level of molecular size. 3. New ideas of EUV CAR like polymer-bound PAGs and resists with anisotropic acid diffusion enhance the resist performances. 4. Many other factors for small patterning must be investigated such as resist pattern collapse, the decrease in broading of the patterned feature size due to acid diffusion, reconsideration of limitation factors such as mobilities of counter anions and quenchers, side and competing reactions such as crosslinking of polymer radicals and trapping sites of hole, proton and electrons. 5. The improvement at each pattern formation stage is required cloth to its physical and chemical limit. The integration of improvement at each stage without interference is strongly needed for steady progress in the development of next generation EUV resists.

Radiation Chemistry of EUV and EB Resists

Radiation Chemistry of EUV and EB Resists Radiation Chemistry of EUV and EB Resists Tagawa 1,2,3 1 The Institute of Scientific and Industrial Research, Osaka University, 2 Japan Science and Technology Agency, CREST, c/o Osaka University, 8-1 Mihogaoka,

More information

Optimizing RLS. Seiichi Tagawa. The Institute of Scientific and Industrial Research Osaka University and JST-CREST

Optimizing RLS. Seiichi Tagawa. The Institute of Scientific and Industrial Research Osaka University and JST-CREST Optimizing RLS Seiichi Tagawa The Institute of Scientific and Industrial Research Osaka University and JST-CREST IEUVI Resist TWG October 2, 2008 Acknowledgement Prof. Takahiro Kozawa Dr. Akinori Kozawa

More information

Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems

Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems Seiichi Tagawa The Institute of Scientific and Industrial

More information

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node Takahiro Kozawa and Seiichi Tagawa The Institute of Scientific and Industrial Research, Osaka University, 8-1

More information

Relationships between Stochastic Phenomena and Optical Contrast in Chemically Amplified Resist Process of Extreme Ultraviolet Lithography

Relationships between Stochastic Phenomena and Optical Contrast in Chemically Amplified Resist Process of Extreme Ultraviolet Lithography Journal of Photopolymer Science and Technology Volume 7, Number () 9 SPST Relationships between Stochastic Phenomena and Optical Contrast in Chemically Amplified Resist Process of Extreme Ultraviolet Lithography

More information

Acid Quantum Efficiency of Anion-bound Chemically Amplified Resists upon Exposure to Extreme Ultraviolet Radiation

Acid Quantum Efficiency of Anion-bound Chemically Amplified Resists upon Exposure to Extreme Ultraviolet Radiation Journal of Photopolymer Science and Technology Volume 28, Number 4 (2015) 501 505 2015SPST Acid Quantum Efficiency of Anion-bound Chemically Amplified Resists upon Exposure to Extreme Ultraviolet Radiation

More information

More on Stochastics and the Phenomenon of Line-Edge Roughness

More on Stochastics and the Phenomenon of Line-Edge Roughness More on Stochastics and the Phenomenon of Line-Edge Roughness Chris A. Mack 34 th International Photopolymer Science and Technology Conference Chiba, Japan, June 28, Conclusions We need more than just

More information

Application of Stochastic Modeling to Resist Optimization Problems

Application of Stochastic Modeling to Resist Optimization Problems Application of Stochastic Modeling to Resist Optimization Problems John J. Biafore, Mark D. Smith KLA-Tencor, FINLE Division, North Capital of Texas Highway, Austin, TX 779 BACKGROUND: Modifying specific

More information

RLS Trade-Off: Questions about Molecular Size and Quantum Yield

RLS Trade-Off: Questions about Molecular Size and Quantum Yield RLS Trade-Off: Questions about Molecular Size and Quantum Yield Robert Brainard and Craig Higgins Supported by SEMATECH and Rohm and Haas 10/2/08 1 Outline I. Introduction II. III. IV. Effect of Molecular

More information

Is an EUV Film Quantum Yield of 30 Possible?

Is an EUV Film Quantum Yield of 30 Possible? Is an EUV Film Quantum Yield of 30 Possible? February 21, 2016 Resist TWG San Jose, CA Greg Denbeaux, Amrit Narasimhan, Steven Grzeskowiak, and Robert L. Brainard SUNY Polytechnic Institute Resist TWG

More information

Next: 193nm Lithography

Next: 193nm Lithography Lecture 16 Chemical Engineering for Micro/Nano Fabrication Next: 193nm Lithography Absorption coefficient [1/µm] Absorption of Photoresist Polymers 2.8 Poly-(4-hydroxystyrene) 2.4 Meta-cresol novolak 2.0

More information

Measurement of the role of secondary electrons in EUV resist exposures

Measurement of the role of secondary electrons in EUV resist exposures Measurement of the role of secondary electrons in EUV resist exposures June 12, 213 International Workshop on EUV Lithography Greg Denbeaux a, Justin Torok, a Ryan Del Re, a Henry Herbol, a Sanjana Das,

More information

Simulation and characterization of surface and line edge roughness in photoresists before and after etching

Simulation and characterization of surface and line edge roughness in photoresists before and after etching Simulation and characterization of surface and line edge roughness in photoresists before and after etching Motivation of this work : Sub 100nm lithographic features often suffer from roughness. Need to

More information

Line Edge Roughness, part 2

Line Edge Roughness, part 2 Tutor57D.doc: Version 3/19/07 Line Edge Roughness, part T h e L i t h o g r a p h y E x p e r t (ay 007) In the last edition of this column [1], I began the difficult process of trying to understand the

More information

Study of Shot Noise in EUV Resists through EUV. and E-Beam Comparative LER Analysis

Study of Shot Noise in EUV Resists through EUV. and E-Beam Comparative LER Analysis Study of Shot Noise in EUV Resists through EUV and E-Beam Comparative LER Analysis Suchit Bhattarai a), Andrew R. Neureuther Department of Electrical Engineering and Computer Sciences, UC Berkeley, Berkeley,

More information

A Simple Model of Line-Edge Roughness

A Simple Model of Line-Edge Roughness A Simple Model of Line-Edge Roughness Chris A. Mack www.lithoguru.com Abstract A stochastic modeling approach is used to predict the results of the exposure and post-exposure bake of a chemically amplified

More information

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists Nickhil Jakatdar 1, Junwei Bao, Costas J. Spanos Dept. of Electrical Engineering and Computer Sciences, University

More information

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations Chapter 2 Process Variability Overview Parameter variability has always been an issue in integrated circuits. However, comparing with the size of devices, it is relatively increasing with technology evolution,

More information

Takeo Watanabe Center for EUVL, University of Hyogo

Takeo Watanabe Center for EUVL, University of Hyogo Soft X-ray Absorption Spectroscopy using SR for EUV Resist Chemical Reaction Analysis Takeo Watanabe Center for EUVL, University of Hyogo Outline 1) Background 2) Princple of X-ray absorption spectroscopy

More information

Electron-beam SAFIER process and its application for magnetic thin-film heads

Electron-beam SAFIER process and its application for magnetic thin-film heads Electron-beam SAFIER process and its application for magnetic thin-film heads XiaoMin Yang, a) Harold Gentile, Andrew Eckert, and Stanko R. Brankovic Seagate Research Center, 1251 Waterfront Place, Pittsburgh,

More information

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking Improving resist resolution and sensitivity via electric-field enhanced postexposure baking Mosong Cheng, a) Lei Yuan, Ebo Croffie, and Andrew Neureuther Electronics Research Laboratory, University of

More information

Particle Generation during Photoresist Dissolution

Particle Generation during Photoresist Dissolution Particle Generation during Photoresist Dissolution Siddharth Chauhan a, Mark Somervell b, Michael Carcasi b, Steven Scheer b, Roger T. Bonnecaze a, Chris Mack c and C. Grant Willson a a Department of Chemical

More information

Polymer Matrix Effects on EUV Acid Generation

Polymer Matrix Effects on EUV Acid Generation Polymer Matrix Effects on EUV Acid Generation Theodore H. Fedynyshyn, Russell B. Goodman, and Jeanette Roberts # Lincoln Laboratory Massachusetts Institute of Technology # Intel Corporation The Lincoln

More information

Chapter 2 Chemistry of High-Energy Charged Particles: Radiations and Polymers

Chapter 2 Chemistry of High-Energy Charged Particles: Radiations and Polymers Chapter 2 Chemistry of High-Energy Charged Particles: Radiations and Polymers The combination of polymers and the high-energy charged particles with sufficiently high LET is the promising candidate for

More information

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists INTERFACE '95 This paper was published in the proceedings of the OCG Microlithography Seminar, Interface '95, pp. 217-228. It is made available as an electronic reprint with permission of OCG Microelectronic

More information

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography February 26, 2009 Shinji Tarutani FUJIFILM Corporation Research & Development Management Headquarters Electronic Materials Research

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XIV, SPIE Vol. 3049, pp. 706-711. It

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Title. CitationChemical physics letters, 657: Issue Date Doc URL. Rights

Title. CitationChemical physics letters, 657: Issue Date Doc URL. Rights Title Dynamics of radical cations of poly(4-hydroxystyrene determined by pulse radiolysis of its highly concent Author(s)Okamoto, Kazumasa; Ishida, Takuya; Yamamoto, Hiroki; CitationChemical physics letters,

More information

Current Status of Inorganic Nanoparticle Photoresists

Current Status of Inorganic Nanoparticle Photoresists Prof. Christopher K. ber Department of Materials Science and Engineering, Cornell University, Ithaca NY Current Status of Inorganic Nanoparticle Photoresists Markos Trikeriotis, Marie Krysak, Yeon Sook

More information

Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008

Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008 Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008 Richard London rlondon@llnl.gov Workshop on Interaction of Free Electron Laser Radiation with Matter Hamburg This work

More information

Understanding electron energy loss mechanisms in EUV resists using EELS and first-principles calculations

Understanding electron energy loss mechanisms in EUV resists using EELS and first-principles calculations Understanding electron energy loss mechanisms in EUV resists using EELS and first-principles calculations Robert Bartynski Sylvie Rangan Department of Physics & Astronomy and Laboratory for Surface Modification

More information

Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation

Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation G. P. Patsis, A. Tserepi, I. Raptis, N. Glezos, and E. Gogolides a) Institute of Microelectronics,

More information

Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation

Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation Study of Deprotection Reaction during Exposure in hemically Amplified Resists for Lithography Simulation Yasuhiro Miyake, Mariko Isono and Atsushi Sekiguchi Litho Tech Japan orporation, 2-6-6-201, Namiki,

More information

Chapter V: Interactions of neutrons with matter

Chapter V: Interactions of neutrons with matter Chapter V: Interactions of neutrons with matter 1 Content of the chapter Introduction Interaction processes Interaction cross sections Moderation and neutrons path For more details see «Physique des Réacteurs

More information

EUV Reflectometry for Determining the Optical Properties of Photoresists and Underlayer Materials Upon Irradiation at 13.5-nm

EUV Reflectometry for Determining the Optical Properties of Photoresists and Underlayer Materials Upon Irradiation at 13.5-nm EUV Reflectometry for Determining the Optical Properties of Photoresists and Underlayer Materials Upon Irradiation at 13.5-nm Grace H. Ho, 1 Fu-H. Kang, 1 Yu-H. Shih, 1 Hok-S. Fung, Hwang-W. Fu, Rikimaru

More information

M oore s law indicates that the number of transistors in integrated circuits should double about every two

M oore s law indicates that the number of transistors in integrated circuits should double about every two OPEN SUBJECT AREAS: NANOWIRES SURFACE PATTERNING LITHOGRAPHY Beyond EUV lithography: a comparative study of efficient photoresists performance Nassir Mojarad*, Jens Gobrecht & Yasin Ekinci Received 1 September

More information

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

Methodology of modeling and simulating line-end shortening effects in deep-uv resist Methodology of modeling and simulating line-end shortening effects in deep-uv resist Mosong Cheng*, Ebo Croffie, Andrew Neureuther Electronics Research Laboratory Department of Electrical Engineering and

More information

Neutral particle behavior in divertor simulator MAP-II

Neutral particle behavior in divertor simulator MAP-II cpp header will be provided by the publisher Neutral particle behavior in divertor simulator MAP-II H. Matsuura 1, S. Kado 2, and Y. Kuwahara 3 1 Graduate School of Engineering, Osaka Prefecture University,

More information

Modeling and Simulation of Line Edge Roughness for EUV Resists

Modeling and Simulation of Line Edge Roughness for EUV Resists JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE VOL.4 NO. FEBRUARY 24 http://dx.doi.org/.5573/jsts.24.4..6 Modeling and Simulation of Line Edge Roughness for EUV Resists SangKon Kim Abstract With the extreme

More information

Dry thermal development of negative electron beam resist polystyrene

Dry thermal development of negative electron beam resist polystyrene Advances in Nano Research, Vol. 1, No. 2 (2013) 105-109 DOI: http://dx.doi.org/10.12989/anr.2013.1.2.105 105 Dry thermal development of negative electron beam resist polystyrene Celal Con, Arwa Saud Abbas

More information

Development of Lift-off Photoresists with Unique Bottom Profile

Development of Lift-off Photoresists with Unique Bottom Profile Transactions of The Japan Institute of Electronics Packaging Vol. 8, No. 1, 2015 [Technical Paper] Development of Lift-off Photoresists with Unique Bottom Profile Hirokazu Ito, Kouichi Hasegawa, Tomohiro

More information

Radionuclide Imaging MII Detection of Nuclear Emission

Radionuclide Imaging MII Detection of Nuclear Emission Radionuclide Imaging MII 3073 Detection of Nuclear Emission Nuclear radiation detectors Detectors that are commonly used in nuclear medicine: 1. Gas-filled detectors 2. Scintillation detectors 3. Semiconductor

More information

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Title Spatial scaling metrics of mask-induced induced line-edge roughness Permalink https://escholarship.org/uc/item/5rc666c3

More information

Stochastic modeling of photoresist development in two and three dimensions

Stochastic modeling of photoresist development in two and three dimensions J. Micro/Nanolith. MEMS MOEMS 9(4), 04202 (Oct Dec 200) Stochastic modeling of photoresist development in two and three dimensions Chris A. Mack 605 Watchhill Road Austin, Texas 78703 E-mail: chris@lithoguru.com

More information

Ionization Detectors

Ionization Detectors Ionization Detectors Basic operation Charged particle passes through a gas (argon, air, ) and ionizes it Electrons and ions are collected by the detector anode and cathode Often there is secondary ionization

More information

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law Chris A. Mack Lithoguru.com, 1605 Watchhill Rd, Austin, TX 78703 Abstract Moore s Law, the idea that every

More information

Screening of basic resist materials and PAGs for EUV-Lithography

Screening of basic resist materials and PAGs for EUV-Lithography Screening of basic resist materials and PAGs for EUV-Lithography 1. Int. EUVL-Symposium, Dallas, TX Klaus Lowack, Wolf-Dieter Domke, liver Kirch, Karl Kragler, Heinz-Ulrich Scheunemann* Infineon Technologies

More information

doi: /PhysRevLett

doi: /PhysRevLett doi: 10.1103/PhysRevLett.77.494 Luminescence Hole Burning and Quantum Size Effect of Charged Excitons in CuCl Quantum Dots Tadashi Kawazoe and Yasuaki Masumoto Institute of Physics and Center for TARA

More information

w w w. o n e r a. f r

w w w. o n e r a. f r w w w. o n e r a. fr SEY properties of dielectric materials, modeling and measurements M. Belhaj ONERA\Centre de Toulouse\DPHY Motivation (@ONERA) Multipactor in RF components -metals and dielectric -Incident

More information

arxiv: v1 [astro-ph] 30 Jul 2008

arxiv: v1 [astro-ph] 30 Jul 2008 arxiv:0807.4824v1 [astro-ph] 30 Jul 2008 THE AIR-FLUORESCENCE YIELD F. Arqueros, F. Blanco, D. Garcia-Pinto, M. Ortiz and J. Rosado Departmento de Fisica Atomica, Molecular y Nuclear, Facultad de Ciencias

More information

Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography

Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography Suchit Bhattarai Electrical Engineering and Computer Sciences University of California at Berkeley

More information

Quantum Dots and Colors Worksheet Answers

Quantum Dots and Colors Worksheet Answers Quantum Dots and Colors Worksheet Answers Background Quantum dots are semiconducting nanoparticles that are able to confine electrons in small, discrete spaces. Also known as zero-dimensional electronic

More information

Modelling of JT-60U Detached Divertor Plasma using SONIC code

Modelling of JT-60U Detached Divertor Plasma using SONIC code J. Plasma Fusion Res. SERIES, Vol. 9 (2010) Modelling of JT-60U Detached Divertor Plasma using SONIC code Kazuo HOSHINO, Katsuhiro SHIMIZU, Tomonori TAKIZUKA, Nobuyuki ASAKURA and Tomohide NAKANO Japan

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 5 MICRO AND NANOPROCESSING TECHNOLOGIES Introduction Ion lithography X-ray lithography Soft lithography E-beam lithography Concepts and processes Lithography systems Masks and resists Chapt.9.

More information

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source 3rd International EUVL Symposium NOVEMBER 1-4, 2004 Miyazaki, Japan Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source H. Tanaka, A. Matsumoto, K. Akinaga, A. Takahashi

More information

EUVL Readiness for High Volume Manufacturing

EUVL Readiness for High Volume Manufacturing EUVL Readiness for High Volume Manufacturing Britt Turkot Intel Corporation Outline Exposure Tool Progress Power Availability Intel demo results Reticle Defectivity Pellicle Materials Conclusion 2 Source

More information

PHYSICS OF THE SPACE ENVIRONMENT

PHYSICS OF THE SPACE ENVIRONMENT PHYSICS OF THE SPACE ENVIRONMENT PHYS/EATS 380 Winter 006 Notes Set 6 Ionospheric Electron Densities The D, E, F1 and F Layers With the advent of radio communication in the early part of the last century

More information

X-Rays, Electrons and Lithography: Fundamental Processes in Molecular Radiation Chemistry

X-Rays, Electrons and Lithography: Fundamental Processes in Molecular Radiation Chemistry X-Rays, Electrons and Lithography: Fundamental Processes in Molecular Radiation Chemistry D. Frank Ogletree Molecular Foundry, Berkeley Lab Berkeley CA USA Our Berkeley Lab Team EUV Lithography and Pattern

More information

Radioactivity and Ionizing Radiation

Radioactivity and Ionizing Radiation Radioactivity and Ionizing Radiation QuarkNet summer workshop June 24-28, 2013 1 Recent History Most natural phenomena can be explained by a small number of simple rules. You can determine what these rules

More information

Molecular Organometallic Resists for EUV (MORE) October 6, 2013

Molecular Organometallic Resists for EUV (MORE) October 6, 2013 Molecular Organometallic Resists for EUV (MORE) October 6, 2013 Brian Cardineau, 1 James Passarelli, 1 Miriam Sortland, 1 Ryan Del Re, 1 Westly Tear, 1 Hashim Al-Mashat, 2 Miles Marnell, 2 Kara Heard,

More information

Laser matter interaction

Laser matter interaction Laser matter interaction PH413 Lasers & Photonics Lecture 26 Why study laser matter interaction? Fundamental physics Chemical analysis Material processing Biomedical applications Deposition of novel structures

More information

ELEMENTARY RADIATION CHEMISTRY

ELEMENTARY RADIATION CHEMISTRY ELEMENTARY RADIATION CEMISTRY RADIOLYSIS The overall process of forming chemically stable products after the absorption and redistribution of the excess of energy of ionizing radiation The resulting compounds

More information

Laser Dissociation of Protonated PAHs

Laser Dissociation of Protonated PAHs 100 Chapter 5 Laser Dissociation of Protonated PAHs 5.1 Experiments The photodissociation experiments were performed with protonated PAHs using different laser sources. The calculations from Chapter 3

More information

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Photolithography Overview  9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview http://www.intel.com/research/silicon/mooreslaw.htm 1 Moore s law only holds due to photolithography advancements in reducing linewidths 2 All processing to create electric components and circuits rely

More information

Recent progress in nanoparticle photoresist development for EUV lithography

Recent progress in nanoparticle photoresist development for EUV lithography Recent progress in nanoparticle photoresist development for EUV lithography Kazuki Kasahara ab, Vasiliki Kosma b, Jeremy Odent b, Hong Xu b, Mufei Yu b, Emmanuel P. Giannelis b, Christopher K. Ober b a

More information

Nuclear Physics and Astrophysics

Nuclear Physics and Astrophysics Nuclear Physics and Astrophysics PHY-30 Dr. E. Rizvi Lecture 4 - Detectors Binding Energy Nuclear mass MN less than sum of nucleon masses Shows nucleus is a bound (lower energy) state for this configuration

More information

Seiichi Tagawa, Satoshi Enomoto, Akihiro Oshima

Seiichi Tagawa, Satoshi Enomoto, Akihiro Oshima Time Resolved Spectroscopy of Nanoparticle EUV Photoresists Reactions of HfO nanoparticles with electrons and the following solubility change studied by pulse radiolysis Seiichi Tagawa, Satoshi Enomoto,

More information

1 P a g e h t t p s : / / w w w. c i e n o t e s. c o m / Physics (A-level)

1 P a g e h t t p s : / / w w w. c i e n o t e s. c o m / Physics (A-level) 1 P a g e h t t p s : / / w w w. c i e n o t e s. c o m / Physics (A-level) Electromagnetic induction (Chapter 23): For a straight wire, the induced current or e.m.f. depends on: The magnitude of the magnetic

More information

3. Gas Detectors General introduction

3. Gas Detectors General introduction 3. Gas Detectors 3.1. General introduction principle ionizing particle creates primary and secondary charges via energy loss by ionization (Bethe Bloch, chapter 2) N0 electrons and ions charges drift in

More information

MASSACHUSETTS INSTITUTE OF TECHNOLOGY 6.781/2.391J TAKE-HOME FINAL ASSIGNMENT, Handed out Thursday, April 27, 2006

MASSACHUSETTS INSTITUTE OF TECHNOLOGY 6.781/2.391J TAKE-HOME FINAL ASSIGNMENT, Handed out Thursday, April 27, 2006 MASSACHUSETTS INSTITUTE OF TECHNOLOGY 6.781/2.391J TAKE-HOME FINAL ASSIGNMENT, 2006 Handed out Thursday, April 27, 2006 Due no later than 5 PM on May 18, 2006 This is a take-home assignment. You may use

More information

Proportional Counters

Proportional Counters Proportional Counters 3 1 Introduction 3 2 Before we can look at individual radiation processes, we need to understand how the radiation is detected: Non-imaging detectors Detectors capable of detecting

More information

Effects of photoresist polymer molecular weight on line-edge roughness and its metrology probed with Monte Carlo simulations

Effects of photoresist polymer molecular weight on line-edge roughness and its metrology probed with Monte Carlo simulations Microelectronic Engineering xxx (2004) xxx xxx www.elsevier.com/locate/mee Effects of photoresist polymer molecular weight on line-edge roughness and its metrology probed with Monte Carlo simulations G.P.

More information

Direct write electron beam patterning of DNA complex thin films

Direct write electron beam patterning of DNA complex thin films Direct write electron beam patterning of DNA complex thin films R. A. Jones, W. X. Li, H. Spaeth, and A. J. Steckl a Nanoelectronics Laboratory, University of Cincinnati, Cincinnati, Ohio 45221-0030 Received

More information

Chapiter VII: Ionization chamber

Chapiter VII: Ionization chamber Chapiter VII: Ionization chamber 1 Types of ionization chambers Sensitive volume: gas (most often air direct measurement of exposure) ionization chamber Sensitive volume: semiconductor (silicon, germanium,

More information

QsT/ Recently, chemically amplified EUV photoresists have been developed which

QsT/ Recently, chemically amplified EUV photoresists have been developed which , i -..,. Polvmer. Effects on Acid Generation Efficiency Using EUV and DUV Exposures - The mbmitkd amnuaaripihas b Paul Dentinger,* Robert L. Brainard,2 Joseph F. Mackevich,2 Jeffrey M. Guevremont? and

More information

General Overview of Gas Filled Detectors

General Overview of Gas Filled Detectors GAS-FILLED DETECTOR General Overview of Gas Filled Detectors Gas-Filled Detectors Ion chamber Proportional counter G-M (Geiger-Miller) counter Diagram of a Generic Gas-Filled Detector A Anode High-voltage

More information

MICRODISCHARGES AS SOURCES OF PHOTONS, RADICALS AND THRUST*

MICRODISCHARGES AS SOURCES OF PHOTONS, RADICALS AND THRUST* MICRODISCHARGES AS SOURCES OF PHOTONS, RADICALS AND THRUST* Ramesh Arakoni a) and Mark J. Kushner b) a) Dept. Aerospace Engineering b) Dept. Electrical and Computer Engineering Urbana, IL 61801 USA mjk@uiuc.edu

More information

Lecture 18 - Photon Dominated Regions

Lecture 18 - Photon Dominated Regions Lecture 18 - Photon Dominated Regions 1. What is a PDR? 2. Physical and Chemical Concepts 3. Molecules in Diffuse Clouds 4. Galactic and Extragalactic PDRs References Tielens, Ch. 9 Hollenbach & Tielens,

More information

Chapter 4 Scintillation Detectors

Chapter 4 Scintillation Detectors Med Phys 4RA3, 4RB3/6R03 Radioisotopes and Radiation Methodology 4-1 4.1. Basic principle of the scintillator Chapter 4 Scintillation Detectors Scintillator Light sensor Ionizing radiation Light (visible,

More information

Topics ASTR 3730: Fall 2003

Topics ASTR 3730: Fall 2003 Topics Qualitative questions: might cover any of the main topics (since 2nd midterm: star formation, extrasolar planets, supernovae / neutron stars, black holes). Quantitative questions: worthwhile to

More information

I. 16. Coloration of Polyethylene Terephthalate (PET) Film by 3MeV Proton Beams

I. 16. Coloration of Polyethylene Terephthalate (PET) Film by 3MeV Proton Beams CYRIC Annual Report 2001 I. 16. Coloration of Polyethylene Terephthalate (PET) Film by 3MeV Proton Beams Matsuyama S., Ishii K., Yamazaki H., Endoh H., Yuki H., Satoh T., Sugihara S., Amartaivan Ts., Tanaka

More information

Multiple Exciton Generation in Quantum Dots. James Rogers Materials 265 Professor Ram Seshadri

Multiple Exciton Generation in Quantum Dots. James Rogers Materials 265 Professor Ram Seshadri Multiple Exciton Generation in Quantum Dots James Rogers Materials 265 Professor Ram Seshadri Exciton Generation Single Exciton Generation in Bulk Semiconductors Multiple Exciton Generation in Bulk Semiconductors

More information

American Journal of Nanoscience and Nanotechnology

American Journal of Nanoscience and Nanotechnology American Journal of Nanoscience and Nanotechnology 2013; 1(1): 11-16 Published online May 30, 2013 (http://www.sciencepublishinggroup.com/j/nano) doi: 10.11648/j.nano.20130101.13 Dependence of electron

More information

LASER. Light Amplification by Stimulated Emission of Radiation

LASER. Light Amplification by Stimulated Emission of Radiation LASER Light Amplification by Stimulated Emission of Radiation Laser Fundamentals The light emitted from a laser is monochromatic, that is, it is of one color/wavelength. In contrast, ordinary white light

More information

The Franck-Hertz Experiment David Ward The College of Charleston Phys 370/Experimental Physics Spring 1997

The Franck-Hertz Experiment David Ward The College of Charleston Phys 370/Experimental Physics Spring 1997 The Franck-Hertz Experiment David Ward The College of Charleston Phys 370/Experimental Physics Spring 1997 Abstract One of the most important experiments supporting quantum theory is the Franck- Hertz

More information

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Manish Chandhok, a Heidi Cao, a Wang Yueh, a Eric Gullikson, b Robert Brainard, c Stewart Robertson c a Intel Corporation,

More information

Chapter 3 Gas Filled Detectors

Chapter 3 Gas Filled Detectors Med Phys 4RA3, 4RB3/6R03 Radioisotopes and Radiation Methodology 3-1 Chapter 3 Gas Filled Detectors 3.1. Ionization chamber A. Ionization process and charge collection The interactions of charged particles

More information

Comprehensive model of electron energy deposition*

Comprehensive model of electron energy deposition* Comprehensive model of electron energy deposition* Geng Han, Mumit Khan, Yanghua Fang, and Franco Cerrina a) Electrical and Computer Engineering and Center for NanoTechnology, University of Wisconsin Madison,

More information

Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication

Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication Benjamen M. Rathsack, Cyrus E. Tabery, Timothy B. Stachowiak, Jeff Albelo 2 and C. Grant Willson Department

More information

Process-Simulation-Flow And Metrology of VLSI Layout Fine- Features

Process-Simulation-Flow And Metrology of VLSI Layout Fine- Features IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 7, Issue 6, Ver. I (Nov.-Dec. 2017), PP 23-28 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Process-Simulation-Flow And Metrology

More information

The Monte Carlo Simulation of Secondary Electrons Excitation in the Resist PMMA

The Monte Carlo Simulation of Secondary Electrons Excitation in the Resist PMMA Applied Physics Research; Vol. 6, No. 3; 204 ISSN 96-9639 E-ISSN 96-9647 Published by Canadian Center of Science and Education The Monte Carlo Simulation of Secondary Electrons Excitation in the Resist

More information

PHYS 3446 Lecture #12

PHYS 3446 Lecture #12 PHYS 3446 Lecture #12 Wednesday, Oct. 18, 2006 Dr. 1. Particle Detection Ionization Detectors MWPC Scintillation Counters Time of Flight 1 Announcements Next LPCC Workshop Preparation work Each group to

More information

Modeling of Environmentally Friendly Siloxane- Based Lithography Solvents

Modeling of Environmentally Friendly Siloxane- Based Lithography Solvents Modeling of Environmentally Friendly Siloxane- Based Lithography Solvents Gregory N. Toepperwein, Dan Rynearson, Juan J. de Pablo Christine Ouyang, Chris Ober 17 November 2011 1 Motivation Drive to reduce

More information

Development of Gamma-ray Monitor using CdZnTe Semiconductor Detector

Development of Gamma-ray Monitor using CdZnTe Semiconductor Detector Development of Gamma-ray Monitor using CdZnTe Semiconductor Detector A. H. D. Rasolonjatovo 1, T. Shiomi 1, T. Nakamura 1 Y. Tsudaka 2, H. Fujiwara 2, H. Araki 2, K. Matsuo 2, H. Nishizawa 2 1 Cyclotron

More information

Explanation of Light/Dark Superposition Failure in CIGS Solar Cells

Explanation of Light/Dark Superposition Failure in CIGS Solar Cells Mat. Res. Soc. Symp. Proc. Vol. 763 23 Materials Research Society B5.2.1 Explanation of / Superposition Failure in CIGS Solar Cells Markus Gloeckler, Caroline R. Jenkins, and James R. Sites Physics Department,

More information

Lecture # 3. Muhammad Irfan Asghar National Centre for Physics. First School on LHC physics

Lecture # 3. Muhammad Irfan Asghar National Centre for Physics. First School on LHC physics Lecture # 3 Muhammad Irfan Asghar National Centre for Physics Introduction Gaseous detectors Greater mobility of electrons Obvious medium Charged particles detection Particle information easily transformed

More information

Development of a Radiation Hard CMOS Monolithic Pixel Sensor

Development of a Radiation Hard CMOS Monolithic Pixel Sensor Development of a Radiation Hard CMOS Monolithic Pixel Sensor M. Battaglia 1,2, D. Bisello 3, D. Contarato 2, P. Denes 2, D. Doering 2, P. Giubilato 2,3, T.S. Kim 2, Z. Lee 2, S. Mattiazzo 3, V. Radmilovic

More information

Energetic particles and their detection in situ (particle detectors) Part II. George Gloeckler

Energetic particles and their detection in situ (particle detectors) Part II. George Gloeckler Energetic particles and their detection in situ (particle detectors) Part II George Gloeckler University of Michigan, Ann Arbor, MI University of Maryland, College Park, MD Simple particle detectors Gas-filled

More information

Chapter 30 X Rays GOALS. When you have mastered the material in this chapter, you will be able to:

Chapter 30 X Rays GOALS. When you have mastered the material in this chapter, you will be able to: Chapter 30 X Rays GOALS When you have mastered the material in this chapter, you will be able to: Definitions Define each of the following terms, and use it in an operational definition: hard and soft

More information