Application of Stochastic Modeling to Resist Optimization Problems

Size: px
Start display at page:

Download "Application of Stochastic Modeling to Resist Optimization Problems"

Transcription

1 Application of Stochastic Modeling to Resist Optimization Problems John J. Biafore, Mark D. Smith KLA-Tencor, FINLE Division, North Capital of Texas Highway, Austin, TX 779 BACKGROUND: Modifying specific resist properties or isolating a particular resist response can be difficult or impossible in experiments. At EUV, tool time is limited and expensive, complicating access to experimental data. Computer modeling can help to mitigate these difficulties, allowing researchers to reduce or better focus the nature of actual experiments. METHODS: We apply stochastic simulation to the study of chemically-amplified resists at EUV. The model is calibrated to experimental data; the agreement between data and simulation are compared using RLS triangles. Using the calibrated model as a representation of the initial condition, we attempt to improve virtual resist performance by decreasing acid diffusivity rate, increasing quencher loading and by replacing conventional quencher with photo-decomposable base (PDB). The effect of PDB upon the virtual resist is further investigated. RESULTS: Virtual resist performance improved by lowering acid diffusivity, by increasing quencher loading and by replacing conventional quencher with photo-decomposable base (PDB). The net improvements observed are a 17% increase in EL and a 1% reduction in LER compared to the initial condition. PDB may offer a path to reduce resist roughness up to %, by allowing higher loading density than conventional quenchers and relaxing the acidic quantum yield required to achieve acceptable roughness. Using the simulator to isolate a specific response, PDB acts to improve the chemical contrast and reduce the chemical noise in the blocked polymer concentration after PEB. Keywords: Stochastic modeling, EUV photoresist, RLS, photodecomposable base, PDB, line-edge roughness, line-width roughness, LER, LWR I. INTRODUCTION The semiconductor industry has maintained Moore s law by using a either an increase in numerical aperture (NA) or a reduction in wavelength (λ). The next planned technology change is a reduction in wavelength from ArF (19 nm) to EUV (1. nm). The dramatic reduction in wavelength combined with a reduction in NA to ca.. has obvious advantages for resolution and depth of focus, but it also leads to optical and physical effects that were practically insignificant in ArF tools. For example, there are new manufacturing challenges for EUV, including throughput, CD uniformity (CDU), line-width and line-edge roughness (LWR, LER). These problems are all closely tied to the power that can be supplied by the EUV source, because lower power means either a lower scan rate (which is detrimental to throughput) or a lower exposure dose (which leads to poor CDU and LWR due to the effects of photon shot noise). Photoresist vendors are working directly on this problem, as they try to determine the right compromise between resolution, exposure latitude, roughness and sizing dose. With all of these new optical and physical effects, photolithography simulation is an invaluable tool for the researcher. Under normal circumstances, much of the learning needed to build a viable resist system can be obtained by a large, extensive set of Edisonian experiments. For EUV, it is not practical to rely upon experiments alone because there are so many new effects and experimental data are currently difficult and expensive to obtain. Simulation can help to mitigate these difficulties, allowing researchers to guide, reduce the number of or better focus actual experiments. In this work, we apply the stochastic resist model (SRM) in PROLITH version X.1 to study the refinement of chemically-amplified resists at EUV. The model is calibrated to experimental data 1 ; the agreement between data and simulation are compared using RLS triangles. Using the calibrated model as a representation of the Advances in Resist Materials and Processing Technology XXIX, edited by Mark H. Somervell, Thomas I. Wallow, Proc. of SPIE Vol.,H 1 SPIE CCC code: 77-7X/1/$1 doi: /1.911 Proc. of SPIE Vol. H-1 Downloaded from SPIE Digital Library on 1 Mar 1 to Terms of Use:

2 initial condition, we attempt to improve virtual resist performance by decreasing acid diffusivity rate, increasing quencher loading and by replacing conventional quencher with photo-decomposable base (PDB). The effect of PDB upon the virtual resist is further investigated. II. PARAMETERIZING REACTANT LOADINGS AND MOLAR ABSORPTION The PROLITH stochastic resist model allows the user to build a virtual resist in the computer using resist formulation data. PAG and quencher loadings in real resists are parameterized in the SRM as number densities and are easily calculated from formulary data. These parameters may be fixed to their experimental values, reducing the number of unknown simulation parameters. As an example, consider a resist with a known mm concentration of PAG. The number density of PAG is, / 1 (1) where is Avogadro s number. Alternatively, the PAG or other reactant may be formulated as a weight fraction of the dry resist. In this case, the number density of PAG is, / () where is the density of dry resist in units of / and is the molecular weight of the PAG in units of /. The molar absorbance coefficient of the reactant can be measured experimentally using transmission spectroscopy methods, / / () where / is the ratio of the intensities of the incident and transmitted light and d is the optical path length. Alternatively, the number density of the PAG and the imaginary part of its refractive index k can be used to determine. In the case of EUV, where actinic light for experimental measurement of may not be available, the k of the PAG can be estimated from its atomic composition and the Berkeley CXRO website () where λ is the vacuum wavelength. Estimation of the molar absorption coefficient for a light-sensitive reactant allows stochastic simulation of the direct photolysis exposure mechanism, the primary exposure mechanism in KrF and ArF resists, though the strong absorbance of EUV by halogen-containing PAGs suggests acid generation by direct photolysis may also occur in EUV exposure. The relationship between the parameters ε and photolytic quantum efficiency with the calculated C parameter, the exposure rate constant is, / 1 where h is Planck s constant and c is the vacuum velocity of light. () Proc. of SPIE Vol. H- Downloaded from SPIE Digital Library on 1 Mar 1 to Terms of Use:

3 At EUV, acid generators are thought to be activated similarly to electron beam exposure: by collisions with a cascade of scattering low-energy secondary electrons, initiated by EUV photo-ionization, with maximum kinetic energy, () where hv is the energy of the incident photon and IP is the first ionization potential of the host molecule. The physical model for electron scattering assumes several cascaded processes. The interaction of scattered electrons with the resist involves elastic and inelastic collisions. In an elastic collision, the resist is left in the original state; in an inelastic collision, the resist is ionized and a secondary electron ejected. Elastic and inelastic scattering events are treated independently and depend only on kinetic energy; calculations of the stopping power and the inelastic mean-free path are based on optical properties of the resist over a large wavelength range,,,, 7,. The specific mechanism(s) by which PAGs are activated by scattering electrons is a topic of research. Theoretical explanations include (at least) the mechanisms of electronic excitation 9 and electron dissociation 1. In the electronic excitation mechanism, scattering electrons induce a time-dependent electric field whose individual Fourier components represent virtual photons. A resonating system, such as a PAG, may interact with the passing charge, producing conversion. In the electron dissociation mechanism, scattering electrons which have decelerated to the thermal energy may covalently combine with PAG, producing conversion. Implicit to either theory is the possibility that acids may be released some distance from the photon absorption site. This effect, known as the secondary electron blur (SEB) effect has been studied by modelaided experiment 11 and has been estimated to be ca.. nm in a state-of-the-art EUV resist system. III. EXPERIMENTAL AND SIMULATED QUANTUM YIELD AS A FUNCTION OF PAG LOADING With the above in mind, we attempt to simulate the relationship between quantum yield and PAG loading. The acid quantum yield is defined as # # () Experiments published by C. Higgins 1 include yield in EUV as a function of loading for three PAG systems, DTBPI-PFBS, TPS-PFBS, NDI-PFBS. The molar absorbance coefficients are estimated using the atomic composition of each PAG and the estimation of each PAG s imaginary refractive index; these parameters are then fixed in the simulator. The unknown values of the simulation parameters controlling PAG exposure by photons and low-energy electrons are calibrated using iterative improvement of the solution. Figure 1 shows good agreement between simulated and measured quantum yield as a function of PAG loading for the three systems. Proc. of SPIE Vol. H- Downloaded from SPIE Digital Library on 1 Mar 1 to Terms of Use:

4 Figure 1. Measured and simulated quantum yield in EUV as a function of loading for three PAGs. Exposure mechanisms of direct photolysis and conversion by scattering secondary electrons are enabled and well-describe the experimental result Proc. of SPIE Vol. H- Downloaded from SPIE Digital Library on 1 Mar 1 to Terms of Use:

5 IV. EXPERIMENTAL AND SIMULATED LITHOGRAPHIC LOADING RESPONSESS AS A FUNCTION OF PAG The data also include EUV lithographic responses for nm hp lines using a single PAG, the DTBPI- collected are exposure latitude, average LER, and Esize dose. The simulator is calibrated by fixing the best- PFBS system, exposed at 1. nm,.. NA,./ /. annular, 1 nm resist on Si. Lithographic responses fit exposuree parameters determined above and iteratively adjusting parameters controlling reaction-diffusion and development until suitable convergence is achieved. Figure shows the agreement between simulated and experimental lithographic responses. Figure. Measured and simulated lithographic responses as a function of DTBPI-PFBS loading Proc. of SPIE Vol. H- Downloaded from SPIE Digital Library on 1 Mar 1 to Terms of Use:

6 V. EXPERIMENTAL AND SIMULATED RLS TRIANGLES AS A FUNCTION OF PAG LOADING The experimental and simulated results for the resist containing DTBPI-PFBS PAG can be easily plotted as RLS triangles for comparison. Commonly, R represents the ultimate resolving power of the resist. However, the exposure latitude of the resist in relation to the illuminator might be a more useful metric. Resist exposure latitude and ultimate resolution both depend strongly on reaction-diffusion-development, particularly diffusivity; lower diffusivity is associated with better exposure latitude and ultimate resolution. Resist exposure latitude is assembled from multiple data at relaxed CD, while judging ultimate resolution can be a highly subjective exercise. In any event, R is defined here as the ratio of the normalized image logslope of the aerial image to the EL of the resist, ±% CD for nm hp lines, using the above illumination. Better values of this ratio approach 1, e.g. the EL of the resist is approaching the EL of the aerial image. (9) In the simulator, L is defined as the average LER and is determined by measuring the uncertainty in the placement of the resist edge for both the left and right edges. The calculation extends along a 1 µm length with a spatial sampling frequency of nm using the weighted metrology method in PROLITH. The LER of the left and right edges are then averaged. 1 (1) S is defined as the sizing dose for nm hp lines., (11) Figure shows the agreement between the simulated and experimental RLS triangles. Proc. of SPIE Vol. H- Downloaded from SPIE Digital Library on 1 Mar 1 to Terms of Use:

7 Data,.% PAG Simulation,.% PAG Data, 7.% PAG Simulation, 7.% PAG S, mj/cm 1 1 S, mj/cm 1 1 S, mj/cm 1 1 S, mj/cm Data, 1.% PAG Simulation, 1.% PAG Data,.% PAG Simulation,.% PAG S, mj/cm 1 1 S, mj/cm 1 1 S, mj/cm 1 1 S, mj/cm Data,.% PAG Simulation,.% PAG S, mj/cm 1 1 S, mj/cm Figure. Measured and simulated RLS triangles of lithographic responses as a function of DTBPI- PFBS PAG loading. Simulated RLS triangles (solid lines) compare well with experimental triangles (dashed lines). In both simulation and experiment, higher PAG loadings tend to drastically reduce exposure latitude and sizing dose, while LER remains about constant. VI. USING SIMULATION TO GUIDE RESIST EXPERIMENT The most powerful application of a calibrated physical model is not the ability to make further predictions about the calibration data, it is to predict what will happen at other conditions. Using the calibrated stochastic model as the initial condition, we attempt to use the simulator to guide resist performance improvement. Resist exposure latitude is observed to degrade drastically after PAG loading increases above 1% by weight, therefore we will use calibrated parameters from the 1% PAG formulation as the initial conditions. Table 1 summarizes the initial conditions and a few outputs. Proc. of SPIE Vol. H-7 Downloaded from SPIE Digital Library on 1 Mar 1 to Terms of Use:

8 Table 1: Initial simulation parameter values and outputs, 1% PAG formulation npags / nm.1 nquenchers / nm.7 conventional Acid diffusivity, nm /s. Acid diffusion length, nm. Simulated EL, %, nm hp ±% CD 1% LER, nm, nm hp. Esize, mj/cm Acid quantum yield, n/photon. It s well known, or should be, that lowering diffusivity, particularly acid diffusivity, allows finer resolution and larger exposure latitude. We suspect that there is an optimum acid diffusivity rate to simultaneously maximize performance on all vertices of the RLS triangle for a given feature, however, in lieu of finding that optimum here (and as a simpler exercise), we lower acid diffusivity rate to a value of 1. nm /s and test the effect upon performance in the simulator. At 1. nm /s, unbounded acid diffusion length is about 1 nm, probably still in excess of the state-of-the-art. The model does not describe the chemical structure of an acid that diffuses at a rate of 1. nm /s, but it does describe the physical effects of such a structure upon the lithographic performance. The relationship between the physical properties of the PAG (e.g. absorption, conversion efficiency, acid diffusivity, reduction potential) and lithographic properties of the resist (ultimate resolution, exposure latitude, roughness, sizing dose, etc.) can be quickly investigated in the simulator and the learning used to guide real experiment. Sizing dose is mj/cm, arguably a very fast system even among EUV resists. Excessively reducing exposure dose exacerbates problems that arise due to photon shot noise and its consequences, particularly uncertainty in the number of generated acids, 1. Sizing dose can be controlled in real resists by quencher addition, with higher quencher loadings producing larger sizing dose, all things being equal. Therefore, we increase the loading of quencher from.7 / nm to. / nm. Stochastic simulations using these new settings - lower acid diffusivity rate, higher quencher loading are observed to produce better resist performance with respect to exposure latitude and LER and are summarized in table. Table : Initial and adjusted simulation parameter values and outputs, 1% PAG formulation Input parameter or response Initial values Adjusted values npags / nm.1.1 nquenchers / nm.7 conventional. conventional Acid diffusivity, nm /s. 1. Acid diffusion length, nm. 1. Simulated EL, %, nm hp ±% CD 1% % LER, nm, nm hp.. Esize, mj/cm 1 Acid quantum yield, n/photon.. VII. SIMULATING THE REPLACEMENT OF CONVENTIONAL QUENCHER WITH PDB In our continuing attempt to improve virtual resist performance, we replace the conventional quencher (e.g. non-photoactive) in the stochastic model with photo-decomposable base (PDB). First reported on by Funato and Pawlowski 1 in 199 for use in chemically-amplified resists in the form of TPS-OH as latent image stabilizers, PDBs act as acid quenchers in unexposed areas, yet decompose into neutral fragments in Proc. of SPIE Vol. H- Downloaded from SPIE Digital Library on 1 Mar 1 to Terms of Use:

9 exposed areas. In the continuum, the conversion of PDBs to neutral fragments can be modeled identically to PAG decomposition (1) (1) where is the concentration of PDB, is the intensity of light and is the exposure rate constant of photodecomposition. The rate of base decomposition, by the direct photolytic mechanism can be expressed 1 (1) where is the quantum efficiency of the decomposition process and is the PDB molar absorbance coefficient. When irradiated in EUV, PDB decomposition is assumed to behave similarly to PAG conversion. The simulated results of PDB replacement are summarized in Table. Table : Initial, adjusted simulation parameter values and outputs, 1% PAG formulation plus PDB Input parameter or response Initial values Adjusted values Adj. vals w/ PDB npags / nm nquenchers / nm.7 conventional. conventional. PDB Acid diffusivity, nm /s Acid diffusion length, nm Simulated EL, %, nm hp ±% CD 1% % % LER, nm, nm hp.. Esize, mj/cm 1 1 Acid quantum yield, n/photon...9 PDB quantum yield,, n/photon.9 We note that decreasing acid diffusivity, increasing quencher loading and replacing conventional quencher with PDB is predicted to produce a % net gain in exposure latitude, likely due to reduced acid diffusivity, and a 1% net reduction in roughness. The virtual resist formulation appears to be able to tolerate a higher loading of PDB, compared to a conventional quencher, with no additional exposure dose required to achieve sizing. This is unsurprising, since exposure, in the case of PDB, neutralizes quencher in the bright area, suggesting that PDBs are one method for reducing sizing dose (the pitfalls of low exposure dose notwithstanding). More interesting, LER is observed to decrease even though acid quantum yield is also observed to decrease. We note that relative acid shot noise is theorized to be a strong contributor to LER and is inversely proportional to acid quantum yield (1) where is the yield. Clearly, lower quantum yield results in a greater relative uncertainty in the number of acids, but in the case of PDB replacement, this doesn t result in higher LER. The results further suggest that PAGs with lower quantum yield may still be serviceable in resists if they are formulated with PDB. In effect, simulation suggests that use of PDBs may offer a route to LER reduction and may relax the acid Proc. of SPIE Vol. H-9 Downloaded from SPIE Digital Library on 1 Mar 1 to Terms of Use:

10 quantum yield required to achieve acceptable LER, without a penalty in sizing dose, though the underlying mechanism is unclear. In the next section, we will use simulation to attempt to formulate a hypothesis to explain this observation. VIII. NOISE, GRADIENT AND THE SIMULATED EFFECT OF PDBS ON THE PEB LATENT IMAGE Isolating specific resist responses can be difficult or impossible in actual experiments, but is straightforward in a computer model. We exploit this idea to hypothesize how PDBs may function in real resists. We first reformulate our virtual resists, in order to recast our lithography process to be more representative of the state-of-the-art in EUV and compare simulations of virtual resists containing PDB and conventional quencher. Table lists some of the simulation parameters and outputs of the experiment. Table : Simulation parameter values and outputs for PDB investigation Input parameter or response Values w/ conv. quencher Values w/ PDB λ, nm, monochromatic NA.. Partial coherence.. Resist α, 1/µm.. npags / nm.. nquenchers / nm.9 conventional.11 PDB Acid diffusivity, nm /s Acid diffusion length, nm Acid quantum yield, n/photon.. PDB quantum yield,, n/photon 1. Esize, mj/cm, 7 nm hp lines LER, nm, 1 µm line length, step nm.1. The results are similar to observations above: the addition of PDB reduces LER, in this case by ca. 1%, even though acid quantum yield decreases. PDB can be formulated in the virtual resist at a higher loading than conventional quencher with no apparent impact to sizing dose. 1, 1 Attempting to understand this result, we recall that a scaling heuristic for LER can be written (1) where is a random variable representing the uncertainty in a process of interest and representing the contrast of a process of interest. The idea is similar to a signal-to-noise ratio: higher contrast images are less sensitive to noise. The expression can be modified depending on the process of interest; in the case of the state of the blocked polymer concentration after PEB, we can write (17) where represents the standard deviation of the concentration of blocked polymer (the chemical noise) and M represents the gradient of the concentration of blocked polymer (the chemical contrast). Proc. of SPIE Vol. H-1 Downloaded from SPIE Digital Library on 1 Mar 1 to Terms of Use:

11 Collapsing to the one dimensional case and measuring at the line edge yields / (1) It is evident that maximizing the chemical gradient and minimizing the chemical noise should produce lower roughness, though the methods by which we can affect these two properties in a real resist, and if they can be manipulated independently, are less clear. In any event, we use stochastic simulation to isolate and measure the response of the virtual resists after exposure and reaction-diffusion (PEB). Figure shows the state of M (the normalized blocked polymer concentration) after simulated exposure and reaction-diffusion for the two virtual resist formulations (one containing PDB and one containing conventional quencher). - M after PEB, Conventional Q y, nm x, nm - M after PEB, PDB y, nm x, nm Figure. Stochastic simulation of blocked polymer concentration M after PEB for 7 nm hp lines using parameters listed in Table. Simulations are conducted in D and averaged to D in the direction of resist thickness. The lines are viewed top-down for the two virtual resist formulations. White indicates 1% concentration, black indicates % concentration. The top plot shows state of M for the resist containing conventional quencher, the bottom shows the state of M for the resist containing PDB. Proc. of SPIE Vol. H-11 Downloaded from SPIE Digital Library on 1 Mar 1 to Terms of Use:

12 1. <M> after PEB vs. displacement.1. σm after PEB vs. displacement σm PDB σm ConvQ.. LINE <M>. σm.. LINE M PDB M Conv. Q - x, nm. - x, nm. M after PEB vs. displacement M PDB M ConvQ σm/ M after PEB vs. displacement.1 1. M, 1/nm.1 LINE σm/ M, nm x, nm σm/ M PDB LINE σm/ M ConvQ - x, nm Figure. Stochastic simulation of blocked polymer concentration M vs. x after PEB for 7 nm hp lines using data from figure collapsed to 1D. Plotted from upper left, are the expectation value of M as a function of x, the standard deviation of M vs. x, the gradient of M vs. x, and the ratio of the standard deviation of M to the gradient of M. The use of PDB (hollow circles) is observed to improve chemical gradient / at the line edge and slightly reduce chemical noise over the displacement. The roughness heuristic / is lower for the PDB-containing sample at the line edge, suggesting better LER compared with the conventional quencher system, supported by LER of the developed relief images,. nm LER for PDB vs..1 nm LER for conventional quencher. It is difficult to detect differences in the D images of the blocked polymer concentration, though the sample containing conventional quencher looks to have slightly lower contrast. We average and collect statistics down the lengths of these lines and collapse the simulation to a one dimensional slice for closer inspection. Figure shows these results. In the case of the blocked polymer concentration with PDB activated (plotted as hollow circles), a greater extent of deblocking is observed in the middle of the space, where PDB has been depleted by exposure. A possible explanation is that, during the PEB reaction-diffusion process, PDB in the bright area (the space) has been depleted, allowing a faster rate of acid-catalyzed deblocking. In the dark area (the line), acid-catalyzed deblocking is inhibited, since PDB is still active as a quencher and reduces acid concentration by neutralization, the net effect being improvement of the chemical contrast /. A reduction in chemical noise is also observed, though more randomized trials are required to test if this observation chemical noise reduction - is statistically significant. However, it has been shown that the addition of conventional quencher increases both chemical gradient and chemical noise 1. The results presented here suggest that the theoretical effect of PDB may be to reduce chemical noise and increase chemical contrast after PEB. Proc. of SPIE Vol. H-1 Downloaded from SPIE Digital Library on 1 Mar 1 to Terms of Use:

13 (19) () We note that values of the roughness heuristic / are lower for the PDB-containing sample at the line edge compared with conventional quencher and predict better LER for the PDB-containing system compared with the conventional quencher system. The prediction is indeed supported by the LER measurements of the simulated resist relief image after development, measured independently. IX. CONCLUSION As an example, we show one method to apply computer modeling to streamline the study and optimization of EUV resists, using publicly available data and a familiar figure of merit, the RLS triangle. After using calibration to determine an initial model that agrees well with experiment, we attempt to improve virtual performance by reducing acid diffusivity and by replacing conventional quencher with photodecomposable base, PDB. The net improvement is a 17% increase in EL and a 1% reduction in LER. We attempt to discern the effect of PDB in real resists using simulation. Observations suggest that PDB may offer a method to reduce roughness up to %, be loaded at higher density than conventional quencher with no penalty to sizing dose and relax the acid quantum yield required to achieve acceptable LER with conventional quenchers. Further study hints that PDB may act to simultaneously improve the chemical gradient and reduce the chemical noise. 1 C. D. Higgins, C. R. Szmanda, A. Antohe, G. Denbeaux, J. Georger, R. Brainhard, RLS Tradeoff and Quantum Yield of High Photo Acid Generator Resists for Extreme Ultraviolet Lithography, Japanese J. of Appl. Phys., Vol., (11) N. Turro, Modern Molecular Photochemistry, University Science Books, (1991) M. Dapor, Electron-beam interactions with solids, Springer, () J.C. Ashley et al, Inelastic interactions of electrons with polystyrene: calculation of mean-free paths, stopping powers and CSDA ranges, IEEE Transactions on Nuclear Science, Vol. NS-, No., Dec. 197 C.J. Tung et al, Range of low energy electrons in solids, IEEE Transactions on Nuclear Science, Vol. NS-, No., Dec T. Inagaki et al, Optical properties of polystyrene from near-infrared to the x-ray region and convergence of optical sum rules, Phys. Rev., B 1, Num., March D. Joy, Monte Carlo Modeling for Electron Microscopy and Microanalysis, Oxford, (199) J. Biafore, M. D. Smith et al, Resist pattern prediction at EUV, Proc. SPIE, Vol. 7, p. 7R-1 (1). 9 G. Han, F. Cerrina, Energy transfer between electrons and photoresist: Its relation to resolution, J. Vac. Sci. Technology. B1(), 97 1 T. Kozawa and S. Tagawa, Radiation Chemistry in Chemically Amplified Resists, Japanese Journal of Applied Physics, Vol. 9, p 1 (1) 11 R. Gronheid, M. Leeson, T. Younkin, C Fonseca, J. Biafore, M. D. Smith, Extreme-ultraviolet secondary electron blur at the -nm half pitch node, Journal of Micro/Nano Lith. MEMS MOEMS, Vol. 1(), (11) 1 C. Mack, J. Thackeray, J. Biafore, M. Smith, Stochastic Exposure Kinetics of EUV Photoresists: A Simulation Study, Extreme Ultraviolet (EUV) Lithography II, Proc., SPIE Vol. 799 (11). 1 S. Funato, G. Pawlowski et al, Application of photo-decomposable base concept to two-component deep-uv chemically amplified resists Proc. SPIE 7, 1 (199) 1 J. D. Byers, Discussion, () 1 J. Biafore, M. D. Smith et al, Mechanistic Simulation of Line Edge Roughness, Advances in Resist Technology and Processing XXII, Proc., SPIE Vol. 19, p. 19Y (7). 1 CXRO website Proc. of SPIE Vol. H-1 Downloaded from SPIE Digital Library on 1 Mar 1 to Terms of Use:

EUV Resist-Fundamental Research

EUV Resist-Fundamental Research EUV Resist-Fundamental Research Akinori Saeki, Hiroki Yamamoto, Takahiro Kozawa, and Seiichi Tagawa The Institute of Scientific and Industrial Research, Osaka University CREST, Japan Science and Technology

More information

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node Takahiro Kozawa and Seiichi Tagawa The Institute of Scientific and Industrial Research, Osaka University, 8-1

More information

More on Stochastics and the Phenomenon of Line-Edge Roughness

More on Stochastics and the Phenomenon of Line-Edge Roughness More on Stochastics and the Phenomenon of Line-Edge Roughness Chris A. Mack 34 th International Photopolymer Science and Technology Conference Chiba, Japan, June 28, Conclusions We need more than just

More information

Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems

Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems Seiichi Tagawa The Institute of Scientific and Industrial

More information

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law Chris A. Mack Lithoguru.com, 1605 Watchhill Rd, Austin, TX 78703 Abstract Moore s Law, the idea that every

More information

RLS Trade-Off: Questions about Molecular Size and Quantum Yield

RLS Trade-Off: Questions about Molecular Size and Quantum Yield RLS Trade-Off: Questions about Molecular Size and Quantum Yield Robert Brainard and Craig Higgins Supported by SEMATECH and Rohm and Haas 10/2/08 1 Outline I. Introduction II. III. IV. Effect of Molecular

More information

A Simple Model of Line-Edge Roughness

A Simple Model of Line-Edge Roughness A Simple Model of Line-Edge Roughness Chris A. Mack www.lithoguru.com Abstract A stochastic modeling approach is used to predict the results of the exposure and post-exposure bake of a chemically amplified

More information

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Title Spatial scaling metrics of mask-induced induced line-edge roughness Permalink https://escholarship.org/uc/item/5rc666c3

More information

Optimizing RLS. Seiichi Tagawa. The Institute of Scientific and Industrial Research Osaka University and JST-CREST

Optimizing RLS. Seiichi Tagawa. The Institute of Scientific and Industrial Research Osaka University and JST-CREST Optimizing RLS Seiichi Tagawa The Institute of Scientific and Industrial Research Osaka University and JST-CREST IEUVI Resist TWG October 2, 2008 Acknowledgement Prof. Takahiro Kozawa Dr. Akinori Kozawa

More information

Relationships between Stochastic Phenomena and Optical Contrast in Chemically Amplified Resist Process of Extreme Ultraviolet Lithography

Relationships between Stochastic Phenomena and Optical Contrast in Chemically Amplified Resist Process of Extreme Ultraviolet Lithography Journal of Photopolymer Science and Technology Volume 7, Number () 9 SPST Relationships between Stochastic Phenomena and Optical Contrast in Chemically Amplified Resist Process of Extreme Ultraviolet Lithography

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Photomask and X-Ray Mask Technology VII SPIE Vol. 466, pp. 172-179. It is made available

More information

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Lithography for Semiconductor Manufacturing SPIE Vol. 3741, pp. 148-160. It is made

More information

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y T u t o r (Summer 1994) Swing Curves Chris A. Mack, FINLE Technologies, Austin, Texas In the last edition of this column, we saw that exposing a photoresist involves the propagation

More information

Measurement of the role of secondary electrons in EUV resist exposures

Measurement of the role of secondary electrons in EUV resist exposures Measurement of the role of secondary electrons in EUV resist exposures June 12, 213 International Workshop on EUV Lithography Greg Denbeaux a, Justin Torok, a Ryan Del Re, a Henry Herbol, a Sanjana Das,

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XIV, SPIE Vol. 3049, pp. 706-711. It

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Line Edge Roughness, part 2

Line Edge Roughness, part 2 Tutor57D.doc: Version 3/19/07 Line Edge Roughness, part T h e L i t h o g r a p h y E x p e r t (ay 007) In the last edition of this column [1], I began the difficult process of trying to understand the

More information

Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XVI, SPIE Vol. 5040, pp. 151-161. It is made available

More information

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 2th Annual BACUS Symposium on Photomask Technology, SPIE Vol. 67- and is made available

More information

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XVIII, SPIE Vol. 4345, pp. 1013-1021.

More information

Is an EUV Film Quantum Yield of 30 Possible?

Is an EUV Film Quantum Yield of 30 Possible? Is an EUV Film Quantum Yield of 30 Possible? February 21, 2016 Resist TWG San Jose, CA Greg Denbeaux, Amrit Narasimhan, Steven Grzeskowiak, and Robert L. Brainard SUNY Polytechnic Institute Resist TWG

More information

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists Nickhil Jakatdar 1, Junwei Bao, Costas J. Spanos Dept. of Electrical Engineering and Computer Sciences, University

More information

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XVI, SPIE Vol. 3678, pp. 1-1011. It

More information

Acid Quantum Efficiency of Anion-bound Chemically Amplified Resists upon Exposure to Extreme Ultraviolet Radiation

Acid Quantum Efficiency of Anion-bound Chemically Amplified Resists upon Exposure to Extreme Ultraviolet Radiation Journal of Photopolymer Science and Technology Volume 28, Number 4 (2015) 501 505 2015SPST Acid Quantum Efficiency of Anion-bound Chemically Amplified Resists upon Exposure to Extreme Ultraviolet Radiation

More information

Study of Shot Noise in EUV Resists through EUV. and E-Beam Comparative LER Analysis

Study of Shot Noise in EUV Resists through EUV. and E-Beam Comparative LER Analysis Study of Shot Noise in EUV Resists through EUV and E-Beam Comparative LER Analysis Suchit Bhattarai a), Andrew R. Neureuther Department of Electrical Engineering and Computer Sciences, UC Berkeley, Berkeley,

More information

Vibrational Spectroscopies. C-874 University of Delaware

Vibrational Spectroscopies. C-874 University of Delaware Vibrational Spectroscopies C-874 University of Delaware Vibrational Spectroscopies..everything that living things do can be understood in terms of the jigglings and wigglings of atoms.. R. P. Feymann Vibrational

More information

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Manish Chandhok, a Heidi Cao, a Wang Yueh, a Eric Gullikson, b Robert Brainard, c Stewart Robertson c a Intel Corporation,

More information

Next: 193nm Lithography

Next: 193nm Lithography Lecture 16 Chemical Engineering for Micro/Nano Fabrication Next: 193nm Lithography Absorption coefficient [1/µm] Absorption of Photoresist Polymers 2.8 Poly-(4-hydroxystyrene) 2.4 Meta-cresol novolak 2.0

More information

Introduction. Photoresist : Type: Structure:

Introduction. Photoresist : Type: Structure: Photoresist SEM images of the morphologies of meso structures and nanopatterns on (a) a positively nanopatterned silicon mold, and (b) a negatively nanopatterned silicon mold. Introduction Photoresist

More information

EUVL Readiness for High Volume Manufacturing

EUVL Readiness for High Volume Manufacturing EUVL Readiness for High Volume Manufacturing Britt Turkot Intel Corporation Outline Exposure Tool Progress Power Availability Intel demo results Reticle Defectivity Pellicle Materials Conclusion 2 Source

More information

Polymer Matrix Effects on EUV Acid Generation

Polymer Matrix Effects on EUV Acid Generation Polymer Matrix Effects on EUV Acid Generation Theodore H. Fedynyshyn, Russell B. Goodman, and Jeanette Roberts # Lincoln Laboratory Massachusetts Institute of Technology # Intel Corporation The Lincoln

More information

Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication

Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication Benjamen M. Rathsack, Cyrus E. Tabery, Timothy B. Stachowiak, Jeff Albelo 2 and C. Grant Willson Department

More information

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations Chapter 2 Process Variability Overview Parameter variability has always been an issue in integrated circuits. However, comparing with the size of devices, it is relatively increasing with technology evolution,

More information

Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography

Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography Suchit Bhattarai Electrical Engineering and Computer Sciences University of California at Berkeley

More information

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources A. Hassanein, V. Sizyuk, S.S. Harilal, and T. Sizyuk School of Nuclear Engineering and Center

More information

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists INTERFACE '95 This paper was published in the proceedings of the OCG Microlithography Seminar, Interface '95, pp. 217-228. It is made available as an electronic reprint with permission of OCG Microelectronic

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Model Answer (Paper code: AR-7112) M. Sc. (Physics) IV Semester Paper I: Laser Physics and Spectroscopy

Model Answer (Paper code: AR-7112) M. Sc. (Physics) IV Semester Paper I: Laser Physics and Spectroscopy Model Answer (Paper code: AR-7112) M. Sc. (Physics) IV Semester Paper I: Laser Physics and Spectroscopy Section I Q1. Answer (i) (b) (ii) (d) (iii) (c) (iv) (c) (v) (a) (vi) (b) (vii) (b) (viii) (a) (ix)

More information

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. Copyright 001 by e Society of Photo-Optical Instrumentation Engineers. This paper was published in e proceedings of Photomask and X-Ray Mask Technology VIII SPIE Vol. 4409, pp. 194-03. It is made available

More information

A Parameter Extraction Framework for DUV Lithography Simulation

A Parameter Extraction Framework for DUV Lithography Simulation A Parameter Extraction Framework for DUV Lithography Simulation Nickhil Jakatdar 1, Junwei Bao, Costas J. Spanos Dept. of Electrical Engineering and Computer Sciences, University of California at Berkeley,

More information

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press Errata, by Chris Mack, chris@lithoguru.com While teaching out of this book at the University

More information

High Optical Density Photomasks For Large Exposure Applications

High Optical Density Photomasks For Large Exposure Applications High Optical Density Photomasks For Large Exposure Applications Dan Schurz, Warren W. Flack, Makoto Nakamura Ultratech Stepper, Inc. San Jose, CA 95134 Microlithography applications such as advanced packaging,

More information

Radiation Chemistry of EUV and EB Resists

Radiation Chemistry of EUV and EB Resists Radiation Chemistry of EUV and EB Resists Tagawa 1,2,3 1 The Institute of Scientific and Industrial Research, Osaka University, 2 Japan Science and Technology Agency, CREST, c/o Osaka University, 8-1 Mihogaoka,

More information

Comprehensive model of electron energy deposition*

Comprehensive model of electron energy deposition* Comprehensive model of electron energy deposition* Geng Han, Mumit Khan, Yanghua Fang, and Franco Cerrina a) Electrical and Computer Engineering and Center for NanoTechnology, University of Wisconsin Madison,

More information

Particle Generation during Photoresist Dissolution

Particle Generation during Photoresist Dissolution Particle Generation during Photoresist Dissolution Siddharth Chauhan a, Mark Somervell b, Michael Carcasi b, Steven Scheer b, Roger T. Bonnecaze a, Chris Mack c and C. Grant Willson a a Department of Chemical

More information

Stochastic modeling of photoresist development in two and three dimensions

Stochastic modeling of photoresist development in two and three dimensions J. Micro/Nanolith. MEMS MOEMS 9(4), 04202 (Oct Dec 200) Stochastic modeling of photoresist development in two and three dimensions Chris A. Mack 605 Watchhill Road Austin, Texas 78703 E-mail: chris@lithoguru.com

More information

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

Methodology of modeling and simulating line-end shortening effects in deep-uv resist Methodology of modeling and simulating line-end shortening effects in deep-uv resist Mosong Cheng*, Ebo Croffie, Andrew Neureuther Electronics Research Laboratory Department of Electrical Engineering and

More information

Transmission Electron Microscopy

Transmission Electron Microscopy L. Reimer H. Kohl Transmission Electron Microscopy Physics of Image Formation Fifth Edition el Springer Contents 1 Introduction... 1 1.1 Transmission Electron Microscopy... 1 1.1.1 Conventional Transmission

More information

Characterization of Optical Proximity Correction Features

Characterization of Optical Proximity Correction Features Characterization of Optical Proximity Correction Features John Allgair, Michelle Ivy, Kevin Lucas, John Sturtevant Motorola APRDL, Austin, TX 7871 Richard Elliott, Chris A. Mack, Craig MacNaughton, John

More information

EUV Reflectometry for Determining the Optical Properties of Photoresists and Underlayer Materials Upon Irradiation at 13.5-nm

EUV Reflectometry for Determining the Optical Properties of Photoresists and Underlayer Materials Upon Irradiation at 13.5-nm EUV Reflectometry for Determining the Optical Properties of Photoresists and Underlayer Materials Upon Irradiation at 13.5-nm Grace H. Ho, 1 Fu-H. Kang, 1 Yu-H. Shih, 1 Hok-S. Fung, Hwang-W. Fu, Rikimaru

More information

Pattern Collapse. T h e L i t h o g r a p h y E x p e r t (November 2006) Chris A. Mack, Austin, Texas

Pattern Collapse. T h e L i t h o g r a p h y E x p e r t (November 2006) Chris A. Mack, Austin, Texas Tutor55.doc: Version 8/10/06 T h e L i t h o g r a p h y E x p e r t (November 2006) Pattern Collapse Chris A. Mack, Austin, Texas Not long ago, defining what an acceptable resist profile looked like was

More information

Understanding electron energy loss mechanisms in EUV resists using EELS and first-principles calculations

Understanding electron energy loss mechanisms in EUV resists using EELS and first-principles calculations Understanding electron energy loss mechanisms in EUV resists using EELS and first-principles calculations Robert Bartynski Sylvie Rangan Department of Physics & Astronomy and Laboratory for Surface Modification

More information

Table of Contents. Foreword... Jörge DE SOUSA NORONHA. Introduction... Michel BRILLOUËT

Table of Contents. Foreword... Jörge DE SOUSA NORONHA. Introduction... Michel BRILLOUËT Table of Contents Foreword... Jörge DE SOUSA NORONHA Introduction... Michel BRILLOUËT xi xvii Chapter 1. Photolithography... 1 Philippe BANDELIER, Anne-Laure CHARLEY and Alexandre LAGRANGE 1.1. Introduction...

More information

Lecture 8. Photoresists and Non-optical Lithography

Lecture 8. Photoresists and Non-optical Lithography Lecture 8 Photoresists and Non-optical Lithography Reading: Chapters 8 and 9 and notes derived from a HIGHLY recommended book by Chris Mack, Fundamental Principles of Optical Lithography. Any serious student

More information

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking Improving resist resolution and sensitivity via electric-field enhanced postexposure baking Mosong Cheng, a) Lei Yuan, Ebo Croffie, and Andrew Neureuther Electronics Research Laboratory, University of

More information

Chem 321 Lecture 18 - Spectrophotometry 10/31/13

Chem 321 Lecture 18 - Spectrophotometry 10/31/13 Student Learning Objectives Chem 321 Lecture 18 - Spectrophotometry 10/31/13 In the lab you will use spectrophotometric techniques to determine the amount of iron, calcium and magnesium in unknowns. Although

More information

Modeling and Simulation of Line Edge Roughness for EUV Resists

Modeling and Simulation of Line Edge Roughness for EUV Resists JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE VOL.4 NO. FEBRUARY 24 http://dx.doi.org/.5573/jsts.24.4..6 Modeling and Simulation of Line Edge Roughness for EUV Resists SangKon Kim Abstract With the extreme

More information

Important processes in modeling and optimization of EUV lithography sources

Important processes in modeling and optimization of EUV lithography sources Important processes in modeling and optimization of UV lithography sources T. Sizyuk and A. Hassanein Center for Materials under xtreme nvironment, School of Nuclear ngineering Purdue University, West

More information

Lecture 14 Advanced Photolithography

Lecture 14 Advanced Photolithography Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes

More information

Takeo Watanabe Center for EUVL, University of Hyogo

Takeo Watanabe Center for EUVL, University of Hyogo Soft X-ray Absorption Spectroscopy using SR for EUV Resist Chemical Reaction Analysis Takeo Watanabe Center for EUVL, University of Hyogo Outline 1) Background 2) Princple of X-ray absorption spectroscopy

More information

The Thermal Sieve: a diffractive baffle that provides thermal isolation of a cryogenic optical system from an ambient temperature collimator

The Thermal Sieve: a diffractive baffle that provides thermal isolation of a cryogenic optical system from an ambient temperature collimator The Thermal Sieve: a diffractive baffle that provides thermal isolation of a cryogenic optical system from an ambient temperature collimator James H. Burge * and Dae Wook Kim College of Optical Sciences

More information

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Photolithography Overview  9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview http://www.intel.com/research/silicon/mooreslaw.htm 1 Moore s law only holds due to photolithography advancements in reducing linewidths 2 All processing to create electric components and circuits rely

More information

Chapter V: Interactions of neutrons with matter

Chapter V: Interactions of neutrons with matter Chapter V: Interactions of neutrons with matter 1 Content of the chapter Introduction Interaction processes Interaction cross sections Moderation and neutrons path For more details see «Physique des Réacteurs

More information

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning SEMI Texas Spring Forum May 21, 2013 Austin, Texas Author / Company / Division / Rev. / Date A smartphone today has

More information

X-Rays, Electrons and Lithography: Fundamental Processes in Molecular Radiation Chemistry

X-Rays, Electrons and Lithography: Fundamental Processes in Molecular Radiation Chemistry X-Rays, Electrons and Lithography: Fundamental Processes in Molecular Radiation Chemistry D. Frank Ogletree Molecular Foundry, Berkeley Lab Berkeley CA USA Our Berkeley Lab Team EUV Lithography and Pattern

More information

ATM 507 Lecture 4. Text reading Chapters 3 and 4 Today s topics Chemistry, Radiation and Photochemistry review. Problem Set 1: due Sept.

ATM 507 Lecture 4. Text reading Chapters 3 and 4 Today s topics Chemistry, Radiation and Photochemistry review. Problem Set 1: due Sept. ATM 507 Lecture 4 Text reading Chapters 3 and 4 Today s topics Chemistry, Radiation and Photochemistry review Problem Set 1: due Sept. 11 Temperature Dependence of Rate Constants Reaction rates change

More information

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Senichi Nishibe a, Thaddeus Dziura a, Venkat Nagaswami a, Roel Gronheid b a KLA-Tencor Corporation, 1 Technology Drive, Milpitas

More information

EUREKA: A new Industry EUV Research Center at LBNL

EUREKA: A new Industry EUV Research Center at LBNL EUREKA: A new Industry EUV Research Center at LBNL Patrick Naulleau Center for X-ray Optics Lawrence Berkeley National Laboratory Berkeley Lab MSD Materials Sciences Division 1 Operating model Core operational

More information

Fundamental Concepts of Radiometry p. 1 Electromagnetic Radiation p. 1 Terminology Conventions p. 3 Wavelength Notations and Solid Angle p.

Fundamental Concepts of Radiometry p. 1 Electromagnetic Radiation p. 1 Terminology Conventions p. 3 Wavelength Notations and Solid Angle p. Preface p. xiii Fundamental Concepts of Radiometry p. 1 Electromagnetic Radiation p. 1 Terminology Conventions p. 3 Wavelength Notations and Solid Angle p. 4 Fundamental Definitions p. 7 Lambertian Radiators

More information

Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008

Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008 Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008 Richard London rlondon@llnl.gov Workshop on Interaction of Free Electron Laser Radiation with Matter Hamburg This work

More information

Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation

Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation Study of Deprotection Reaction during Exposure in hemically Amplified Resists for Lithography Simulation Yasuhiro Miyake, Mariko Isono and Atsushi Sekiguchi Litho Tech Japan orporation, 2-6-6-201, Namiki,

More information

MASSACHUSETTS INSTITUTE OF TECHNOLOGY 6.781/2.391J TAKE-HOME FINAL ASSIGNMENT, Handed out Thursday, April 27, 2006

MASSACHUSETTS INSTITUTE OF TECHNOLOGY 6.781/2.391J TAKE-HOME FINAL ASSIGNMENT, Handed out Thursday, April 27, 2006 MASSACHUSETTS INSTITUTE OF TECHNOLOGY 6.781/2.391J TAKE-HOME FINAL ASSIGNMENT, 2006 Handed out Thursday, April 27, 2006 Due no later than 5 PM on May 18, 2006 This is a take-home assignment. You may use

More information

X-Rays From Laser Plasmas

X-Rays From Laser Plasmas X-Rays From Laser Plasmas Generation and Applications I. C. E. TURCU CLRC Rutherford Appleton Laboratory, UK and J. B. DANCE JOHN WILEY & SONS Chichester New York Weinheim Brisbane Singapore Toronto Contents

More information

Negative Tone Development: Gaining insight through physical simulation

Negative Tone Development: Gaining insight through physical simulation Negative Tone Development: Gaining insight through physical simulation Stewart A. Robertson a, Michael Reilly b, John J. Biafore a, Mark D. Smith a, and Young Bae b. a - KLA-Tencor Corp., PCI Division,

More information

X-Ray Photoelectron Spectroscopy (XPS)

X-Ray Photoelectron Spectroscopy (XPS) X-Ray Photoelectron Spectroscopy (XPS) Louis Scudiero http://www.wsu.edu/~scudiero; 5-2669 Fulmer 261A Electron Spectroscopy for Chemical Analysis (ESCA) The basic principle of the photoelectric effect

More information

Chemistry-Integrated Year-at-a-Glance ARKANSAS STATE SCIENCE STANDARDS

Chemistry-Integrated Year-at-a-Glance ARKANSAS STATE SCIENCE STANDARDS Chemistry-Integrated Year-at-a-Glance ARKANSAS STATE SCIENCE STANDARDS FIRST SEMESTER FIRST/SECOND SECOND SEMESTER Unit 1 Motion and Matter Unit 2 Atomic Trends and Behavior Unit 3 Chemical Reactions Unit

More information

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION Principles and Applications DAVID ATTWOOD UNIVERSITY OF CALIFORNIA, BERKELEY AND LAWRENCE BERKELEY NATIONAL LABORATORY CAMBRIDGE UNIVERSITY PRESS Contents

More information

EDS User School. Principles of Electron Beam Microanalysis

EDS User School. Principles of Electron Beam Microanalysis EDS User School Principles of Electron Beam Microanalysis Outline 1.) Beam-specimen interactions 2.) EDS spectra: Origin of Bremsstrahlung and characteristic peaks 3.) Moseley s law 4.) Characteristic

More information

Critical Dimension Uniformity using Reticle Inspection Tool

Critical Dimension Uniformity using Reticle Inspection Tool Critical Dimension Uniformity using Reticle Inspection Tool b Mark Wylie, b Trent Hutchinson, b Gang Pan, b Thomas Vavul, b John Miller, b Aditya Dayal, b Carl Hess a Mike Green, a Shad Hedges, a Dan Chalom,

More information

Time Resolved Pulsed Laser Photolysis Study of Pyrene Fluorescence Quenching by I - Anion

Time Resolved Pulsed Laser Photolysis Study of Pyrene Fluorescence Quenching by I - Anion 1 Time Resolved Pulsed Laser Photolysis Study of Pyrene Fluorescence Quenching by I - Anion Cameron Incognito, Ryan Bella, Cassandra Smith, Brandon Alexander Department of Chemistry, The Pennsylvania State

More information

EE 5344 Introduction to MEMS CHAPTER 5 Radiation Sensors

EE 5344 Introduction to MEMS CHAPTER 5 Radiation Sensors EE 5344 Introduction to MEMS CHAPTER 5 Radiation Sensors 5. Radiation Microsensors Radiation µ-sensors convert incident radiant signals into standard electrical out put signals. Radiant Signals Classification

More information

Optical Proximity Correction

Optical Proximity Correction Optical Proximity Correction Mask Wafer *Auxiliary features added on mask 1 Overlay Errors + + alignment mask wafer + + photomask plate Alignment marks from previous masking level 2 (1) Thermal run-in/run-out

More information

Top down and bottom up fabrication

Top down and bottom up fabrication Lecture 24 Top down and bottom up fabrication Lithography ( lithos stone / graphein to write) City of words lithograph h (Vito Acconci, 1999) 1930 s lithography press Photolithography d 2( NA) NA=numerical

More information

SPH4U UNIVERSITY PHYSICS

SPH4U UNIVERSITY PHYSICS SPH4U UNIVERSITY PHYSICS REVOLUTIONS IN MODERN PHYSICS:... L Photons & the Quantum Theory of... (P.620-623) The Work Function Around 1800, Thomas Young performed his double-slit interference experiment

More information

1 WHAT IS SPECTROSCOPY?

1 WHAT IS SPECTROSCOPY? 1 WHAT IS SPECTROSCOPY? 1.1 The Nature Of Electromagnetic Radiation Anyone who has been sunburnt will know that light packs a punch: in scientific terms, it contains considerable amounts of energy. All

More information

MT Electron microscopy Scanning electron microscopy and electron probe microanalysis

MT Electron microscopy Scanning electron microscopy and electron probe microanalysis MT-0.6026 Electron microscopy Scanning electron microscopy and electron probe microanalysis Eero Haimi Research Manager Outline 1. Introduction Basics of scanning electron microscopy (SEM) and electron

More information

DUV Positive Photoresists

DUV Positive Photoresists Journal of Photopolymer Science and Technology Volume 5, Number 1(1992) 207-216 Factors Affecting the Performance of Chemically DUV Positive Photoresists Amplified James W. Thackeray, Theodore H. Fedynyshyn,

More information

4. Inelastic Scattering

4. Inelastic Scattering 1 4. Inelastic Scattering Some inelastic scattering processes A vast range of inelastic scattering processes can occur during illumination of a specimen with a highenergy electron beam. In principle, many

More information

Copyright 1994 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1994 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1994 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XI, SPIE Vol. 2195, pp. 584-595. It

More information

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Lithography for Semiconductor Manufacturing SPIE Vol. 4404, pp. 111-122. It is made

More information

CHEM6416 Theory of Molecular Spectroscopy 2013Jan Spectroscopy frequency dependence of the interaction of light with matter

CHEM6416 Theory of Molecular Spectroscopy 2013Jan Spectroscopy frequency dependence of the interaction of light with matter CHEM6416 Theory of Molecular Spectroscopy 2013Jan22 1 1. Spectroscopy frequency dependence of the interaction of light with matter 1.1. Absorption (excitation), emission, diffraction, scattering, refraction

More information

object objective lens eyepiece lens

object objective lens eyepiece lens Advancing Physics G495 June 2015 SET #1 ANSWERS Field and Particle Pictures Seeing with electrons The compound optical microscope Q1. Before attempting this question it may be helpful to review ray diagram

More information

High Yield Structured X-ray Photo-Cathode Development and Fabrication

High Yield Structured X-ray Photo-Cathode Development and Fabrication High Yield Structured X-ray Photo-Cathode Development and Fabrication K. Opachich 1, P. Ross 1, J. Koch 1, A. MacPhee 2, O. Landen 2, D. Bradley 2, P. Bell 2, S. Nagel 2, T. Hilsabeck 4, N. Chen 5, S.

More information

Lab 1. Resolution and Throughput of Ion Beam Lithography

Lab 1. Resolution and Throughput of Ion Beam Lithography 1 ENS/PHY463 Lab 1. Resolution and Throughput of Ion Beam Lithography (SRIM 2008/2013 computer simulation) Objective The objective of this laboratory work is to evaluate the exposure depth, resolution,

More information

EXPOSURE TIME ESTIMATION

EXPOSURE TIME ESTIMATION ASTR 511/O Connell Lec 12 1 EXPOSURE TIME ESTIMATION An essential part of planning any observation is to estimate the total exposure time needed to satisfy your scientific goal. General considerations

More information

Nanotechnology Nanofabrication of Functional Materials. Marin Alexe Max Planck Institute of Microstructure Physics, Halle - Germany

Nanotechnology Nanofabrication of Functional Materials. Marin Alexe Max Planck Institute of Microstructure Physics, Halle - Germany Nanotechnology Nanofabrication of Functional Materials Marin Alexe Max Planck Institute of Microstructure Physics, Halle - Germany Contents Part I History and background to nanotechnology Nanoworld Nanoelectronics

More information

X-Ray Photoelectron Spectroscopy (XPS)

X-Ray Photoelectron Spectroscopy (XPS) X-Ray Photoelectron Spectroscopy (XPS) Louis Scudiero http://www.wsu.edu/~scudiero; 5-2669 Electron Spectroscopy for Chemical Analysis (ESCA) The basic principle of the photoelectric effect was enunciated

More information

Optical Properties of Thin Semiconductor Films

Optical Properties of Thin Semiconductor Films Optical Properties of Thin Semiconductor Films Grolik Benno,KoppJoachim October, 31st 2003 1 Introduction Optical experiments provide a good way of examining the properties of semiconductors. Particulary

More information

The Monte Carlo Simulation of Secondary Electrons Excitation in the Resist PMMA

The Monte Carlo Simulation of Secondary Electrons Excitation in the Resist PMMA Applied Physics Research; Vol. 6, No. 3; 204 ISSN 96-9639 E-ISSN 96-9647 Published by Canadian Center of Science and Education The Monte Carlo Simulation of Secondary Electrons Excitation in the Resist

More information

Chemistry Instrumental Analysis Lecture 3. Chem 4631

Chemistry Instrumental Analysis Lecture 3. Chem 4631 Chemistry 4631 Instrumental Analysis Lecture 3 Quantum Transitions The energy of a photon can also be transferred to an elementary particle by adsorption if the energy of the photon exactly matches the

More information