Negative Tone Development: Gaining insight through physical simulation

Size: px
Start display at page:

Download "Negative Tone Development: Gaining insight through physical simulation"

Transcription

1 Negative Tone Development: Gaining insight through physical simulation Stewart A. Robertson a, Michael Reilly b, John J. Biafore a, Mark D. Smith a, and Young Bae b. a - KLA-Tencor Corp., PCI Division, Austin, TX, USA. b Dow Electronic Materials, Marlborough, MA, USA. ABSTRACT A simple analysis of aerial image quality reveals that negative tone imaging is superior to positive tone for small dimension contacts and trenches. Negative Tone Development (NTD) of positive chemically amplified (deprotecting) photoresist is currently the favored method for realizing such images on the wafer. When experimental process windows are determined for NTD systems, it is apparent that the results far exceed the upper limit predicted using current physical modeling. Since real data transcends the capabilities of the current model to predict, some important physical process is clearly missing. In this work, we explore whether resist shrinkage during PEB can account for the observed discrepancies. Two very simple shrinkage models are developed and tested. Results show that shrinkage in the vertical direction explains some profile artifacts observed in actual NTD processes but has negligible impact on conventional positive tone processes. The horizontal shrinkage model reveals that this type of phenomenon can significantly increase the exposure latitude of a negative tone process but has marginal impact on positive tone exposure latitude only introducing a small CD offset. While horizontal shrinkage does enhance exposure latitude appreciably, the effect does not seem large enough on its own to account for the entire increase observed in the experimental results. Further work is ongoing to investigate other potential mechanisms for observed behavior. Keywords: negative tone development, lithography simulation, shrinkage 1. INTRODUCTION It has long been known that certain features will print with superior image quality with a negative tone resist process. Mack 1 published on this as early as Despite this mass volume manufacturing processes at the 248nm and 193nm wavelengths has almost exclusively utilized positive tone chemically amplified photoresists developed in aqueous base solution. These resists operate on the principal of acid catalyzed de-protection to modulate the polymer solubility in the developer. Although negative tone chemically amplified resists have been developed, for one reason, or another, they have never been widely adopted in mainstream IC processing. In recent years, the ever diminishing process windows for back end features such as contacts and semi-dense trenches, has prompted renewed interest in negative tone imaging, since simple aerial image analysis shows substantial benefits in changing from the conventional dark field mask for positive tone imaging. Promising results have been shown for these layers utilizing a novel process for producing a negative tone resist image. Rather than using the standard cross-linking resist system 2,3 developed in an aqueous base, a standard de-protecting (positive type) resist 4,5 is used and dissolved in a solvent. It has been reported that such NTD processes do indeed image with superior quality to the standard positive tone approach 6,7. Physical simulation of lithography has a long history 8 of aiding researchers and engineers in understanding and optimizing real world processes. Ideally, this would be true for NTD as well. In this work, we investigate how well current physical models can represent the experimental results obtained from actual NTD processes and what may cause the observed deviations. Advances in Resist Materials and Processing Technology XXVIII, edited by Robert D. Allen, Mark H. Somervell, Proc. of SPIE Vol. 7972, 79720Y 2011 SPIE CCC code: X/11/$18 doi: / Proc. of SPIE Vol Y-1

2 2. APPROACH TO MODELING NEGATIVE TONE DEVELOPMENT In positive tone development of standard chemically amplified photoresist, the polymer dissolution properties in aqueous base of the polymer, increase as acid labile protecting groups are removed from the polymer. These leaving groups are de-protected in an acid catalyzed reaction during PEB (Post Exposure Bake) and volatilize out of the film. In the negative tone development process, a solvent developer is used, this exploits the fact that the polarity of the resist material increases with higher de-protection extent. As the polymer s polarity increases, its solubility in the solvent decreases. Since the entire chemistry of NTD and PTD seem near identical other than the direction of solubility on the development step, the obvious approach is to use the same chemically amplified resist model as currently employed for PTD but reverse the development rate equation. Several development rate equations 9-11 are routinely used in lithography simulation, however the original equation proposed by Mack 9 is still the most commonly used. This rate equation is given in Equation (1) R(M ) = R max ( a + 1)(1 M ) n a + (1 M ) n + R min (1) n + where a = 1 (1 M th n 1 ) n R(M) is the instantaneous bulk development rate, M is the local protection extent, R max is the maximum development rate of the resist (when M = 0), R min is the minimum development rate of the resist (when M = 1), n is the dissolution selectivity and M th is the threshold protection level when there is an inflection point in the R( M) curve. This equation can be reversed to describe negative tone development by substituting R(1-M) for R(M). The meanings of R max and R min and n are retained, but the threshold protection level where the inflection in the development rate occurs is now at 1-M th. Figure 1 shows plots of the Mack model in both PTD and NTD modes with typical input parameterr values. Figure 1: Plot of the Mack rate equation configured in both positive and negative tone dissolution modes, with typical measured maximumm and minimum development rates. Proc. of SPIE Vol Y-2

3 3. THE RESIST VECTOR CONCEPT In 2002, Hansen 12 introduced the concept of the resist vector, this work was followed up by Biafore 13 in The main principle of the resist vector concept is that basic lithographic performance is controlled by the projected image, but that the PEB reaction-diffusion processes and the development step cause divergence between the image behavior and the final resist response in a logical and consistent way. Both authors showed that the ultimate performance for a positive tone chemically amplified resist is the case where it is equivalent of a perfect threshold on the aerial image (the image-in-resist case). This corresponds to the case where there is no acid or quencher diffusion and the resist has infinite contrast. Although the resist model has flexibility to modify the processes isofocal CD and cross-pitch bias performance, this control always comes at the sacrifice of exposure latitude, i.e., the exposuree latitude of a resist model cannot exceed that of a perfect threshold of the aerial image. Figure 2 shows an examplee of this behavior, taken from the work by Biafore 13. This basic behavior has been central to the success of high accuracy model calibration for many years and can be considered to be true for virtually all PTD systems studied to date. In short, a study of many experimental PTD systems shows that the exposure latitude never exceeds that of the perfect image-in-resist. When NTD is studied it quickly becomes clear that it does not follow this same behavior. Figure 3 compares experimental maximumm exposure latitude versus isofocal CD for three NTD systems with the theoretical image-in- case the resist result for a 65nm dense trench exposed using 1.35NA, 0.9/0.6 annular, x/y polarization. In each experimental data has an exposure latitude well in excess of the calculated image-in-resist case. A few resist models are also shown in Figure 3, acid and quencher diffusion is varied from practically zero to an excessively large value and develop contrast is varied between 5 and 60. Unsurprisingly, none of these extreme parameter conditions can move the model behavior past the image-in-resist limit. It would appear that the NTD processes transcend the current model capabilities, strongly suggesting that some important physical process occurring in negative tone imaging is missing. Figure 2: Example of maximum exposure latitude versus isofocal CD for a 100nm dense line when exposure is by 0.75NA, dry ArF, 0.9 sigma. Taken from referencee 13. A number of physical phenomena could explain the divergence of actual NTD systems and the current chemically amplified resist model; these include, but are not limited to: 1. De-protection extent in the developed resist features. Physical shrinkage is typicallyy associated with acid catalyzed de-protection, corresponding to the mass loss of the leaving group. In positive tone development, the resist features remaining after development have a high protection level and have lost very little mass. In negative tone development, the protection level of the remaining resist is much lower and somewhat variable; therefore there is significantly more variation in mass loss. Proc. of SPIE Vol Y-3

4 2. There is a central assumption in the current resist model that the local dissolution rate is only dependent upon the local polymer protection level. Given that the dissolution mechanismm exploited in NTD is significantly different from thatt employed in PTD, this may no longer be true and the rate may be modulated by some other local resist property. 3. The observed behavior is consistent with a developer depletion effect, where a local increase in dissolved polymer concentration in the develop solution results in a drop in development rate. 4. SEM shrinkage. It is well knownn that chemically amplified resist shrinks during e-beam metrology. In PTD all resist features have a similar average protection level and can be expected to shrink comparably. In NTD the protection level of different features (even across an exposure latitude curve) varies considerably. Assuming that the resist can only shrink until all the leaving group is removed, this means that the available shrinkage for features varies on a case to case basis. Figure 3: Maximum exposure latitude versus isofocal CD for a 65nm dense trench when exposure is by 1.35NA, immersion ArF, 0.9/0.6 Annular, X/Y polarization. Comparison of three experimental NTD systems, the theoretical image-in-resist case and several model cases. 4. DEPROTECTION SHRINKAGE Although, many potential physical mechanisms can potentially describe the discrepanciess between the current physical model for NTD and reality, the remainder of this work will concentrate on de-protection shrinkage. Firstly, because this is a well known first order resist effect which has been omitted from modeling for a long period of time, and secondly because, the de-protection 4 shows a thickness versus dose curve for a resist film after PEB, as the exposuree dose rises, more acid characteristics of resist features formed by PTD and NTD processes are quite distinct. Figure is generated in the resist and the higher the de-protection extent in the film. On de-protection, the leaving group volatilizes from the film and it shrinks due to mass loss. The maximumm mass loss occurs when total de-protection occurs, for the material shown in Figure 4; this maximum would be about 15% of the original mass. Whilst many materials exhibit de-protection shrinkage at a lower level than this, this material is by no means unusual. Figure 5 compares the protection levels in the resist features on the wafer after a 65nm dense trench is imaged with a NTD and PTD process. Generic NTD and PTD processes were assumed. In the PTD case, the average protection level in the remaining structures is about 88% and less than 2% of the mass of the line would be volatized away thoughh leaving group loss. However, in the NTD case, the average protection level in the remaining structures is about 45% and over 8% of the mass would be lost. Figure 6 compares protection levels for the two processes for a 100nm trench on a 600nm pitch. In the PTD case, the average protection levell in the resist is about 91% and the lost mass is about 1.4% %, similar to that for the 65 nm dense feature of Figure 5. In the NTD case the average protection level is 3% (0% in most areas away from the feature edge) and the masss loss is around 14.6%, this is quite different from the 65nm dense trench case. Given that the protection levels in the resist are much lower in NTD than in PTD and that the protection level varies to a greater degree, it was decided to investigate the impact de-protection shrinkage would have on both PTD and NTD processes. Proc. of SPIE Vol Y-4

5 Figgure 4: Resist thickness t versuus dose after PEB for a de-prrotecting photooresist. Initial film fi thickness after spin-coat s and softbake s was Angstromss. Figure 5: Comparisoon of the protecction level in thhe resist featurees surroundingg a 65nm densee trench (1.35N NA, i immersion ArF F, 0.9/0.6 Annuular, X/Y polaarization) assum ming typical geeneric model parameters. Figure 6: Comparison C off the protectionn level in the reesist features suurrounding a 100nm isolated (600nm Pitch)) trench (1.35N NA, immersion n ArF, 0.9/0.6 Annular, X/Y Y polarization) assuming typiccal generic moodel parameterss. Proc. of SPIE Vol Y-5

6 4.1 Simple Vertical Shrinkage Model In a simple investigation of the impact of de-protection shrinkage it will, in the first instance, assume that all mass loss results in height loss (no lateral shrinkage). It will be assumed that 1. Shrinkage in z will be related to the average protection level between the top and bottom of the resist at each x position. 2. The shrinkage will be linearly dependent on the average protection level. 100% protection equals 0% film shrinkage; 0% protection equals 15% film shrinkage. 3. The same assumptions will be applied to both PTD and NTD modeling cases Vertical Shrinkage Model applied to PTD Figure 7 shows the de-protection profile of the resist surrounding a 100 nm isolated trench for a positive tone development case. It also shows the resist thickness versus x position for the resist after PEB using the simple shrinkage model described in 4.1. The expected dimple over the exposed region is clearly observed. Also shown is the resist profile after development when the vertical shrinkage model is applied Vertical Shrinkage Model applied to NTD Figure 8 shows the de-protection profile of the resist surrounding a 100nm isolated trench for a negative tone development case (the mask tone has been switched from the PTD case). It also shows the resist thickness versus x position for the resist after PEB using the simple shrinkage model described in 4.1. In this case we see that full resist thickness is retained in what will be the center of the space and the shrinkage occurs in the region which will remain after development. Also shown is the resist profile after development when the vertical shrinkage model is applied. This resulting profile exhibits rails on the edge of the trench resulting from a small region near the feature edge with increasing protection level. Figure 8 includes a SEM cross-section image for the described feature in an early NTD system, the predicted rails are evident in this micrograph. These profile artifacts are often, but not always, observed for NTD systems Comparison of PTD and NTD results with Vertical Shrinkage Model Figure 9 compares the resist profiles generated by PTD and NTD simulations that include the vertical shrinkage model with conventional simulations that do not. In the case, of PTD it is fairly evident that the impact of shrinkage is negligible; supporting the fact that not including this effect in normal chemically amplified resist simulations has little impact on their accuracy. However, for NTD we observe a more significant impact on resist profile prediction, including the presence of rail artifacts that are sometimes observed experimentally. This suggest that the shrinkage phenomena may be more important for NTD than PTD, although it must be remembered that in this model all shrinkage has been placed in the vertical direction, in reality some shrinkage will occur laterally and the image in z will be reduced. 4.2 Simple Horizontal Deformation Model In a simple investigation of the impact de-protection shrinkage might have on CD, a simple lateral deformation model is created. Here it is assumed that all mass loss results will results in a lateral CD deviation (no vertical shrinkage). It will be assumed that 1. Shrinkage will be applied to the solid resist part of the feature of interest. 2. The CD shrinkage will be linearly scaled based upon the average protection level in the solid resist feature. 100% protection equals 0% CD shrinkage; 0% protection equals 15% CD shrinkage. 3. For simplicity, it will be assumed that the resist CD can scale freely and no adhesion issues will occur at the resist substrate interface. 4. The same assumptions will be applied to both PTD and NTD modeling cases. Proc. of SPIE Vol Y-6

7 Figure 7: Pllots of (i) De-protection levell in the resist affter PEB for a 100nm isolatedd (600nm Pitchh) trench in usiing PTD (1.35NA, im mmersion ArF, 0.9/0.6 Annullar, X/Y polariization), (ii) Thhe correspondiing post-peb thhickness profille using the simple vertical v shrinkaage model, (iii) The final devveloped resist profile p after thee simple vertical shrinkage model m is applied. Figure 8: Plots of (i) De-prrotection level in the resist affter PEB for a 100nm isolatedd (600nm Pitchh) trench in usiing NTD mmersion ArF, 0.9/0.6 Annullar, X/Y polariization), (ii) Thhe correspondiing post-peb thhickness profille using (1.35NA, im the simple vertical v shrinkaage model, (iii) The final devveloped resist profile p after thee simple vertical shrinkage model m is applied, (iv) Cross-sectiion SEM of 100nm isolated trrench showingg the same raill features present on the moddified s simulation. Proc. of SPIE Vol Y-7

8 Figure 9: Comparison of simulated resist profiles with and without the shrinkage model Horizontal Deformation Model applied to PTD The primary reason for investigating horizontal deformation is to determinee whether this may be responsible for the enhanced exposure latitude exhibited by NTD systems. Therefore the impact of the simple model is explored for both tone systems. The exposure latitude of a positive tone develop system was studied for a 65nm dense trench system. Firstly, using the standard generic PTD system, the doses were calculated required to size the trench across the CD range 45nm to 80nm in 5nm increments. For each dose the protection level in the remaining resist was calculated, this value was then used to calculate the amount of resist shrinkage (CD increase for the trench) that would occur when the lateral deformation model was applied. The results are shown in Table 1. The first notable feature of the data is that through the exposure latitude curve the average protection in the remaining resist remains virtually unchanged. When the shrinkage is invoked, the main effect is to induce a slight CD offset. Although a small CD delta is evident between the highest and lowest dose, the effect is negligible. Figure 10 plots the 2 resulting exposure latitude curves; to the second decimal place the calculated exposure latitude of both curves is constant at 9.3% Table 1: Exposure latitude data for the PTD case with and without shrinkage. Proc. of SPIE Vol Y-8

9 Figure 10: Exposure latitude plot for the PTD case with and without shrinkage Horizontal Deformation Model applied to NTD The exposure latitude of a negative tone develop system was studied for a 65nm dense trench system. Firstly, using the standard generic NTD system, the doses weree calculated required to size the trench across the CD range 45nm to 80nm in 5nm increments. For each dose the protection level in the remaining resist was calculated, this value was then used to calculate the amount of resist shrinkage (CD increase for the trench) that would occur when the lateral deformation model was applied. The results are shown in Table 2. Unlike the PTD case, we see that the protection level in the resist alters considerably across the exposure range. In addition to introducing a CD offset, the lateral deformation appears to alter exposure latitude significantly. Figure 11 plots the 2 resulting exposure latitude curves. With the introduction of the lateral deformation the features exposure latitude increases from 12% to 16%. Whilst the lateral shrinkage/deformation appears to modulate the exposure latitude of a NTD process in the correct direction, it seems unlikely that it can entirely account for the observed discrepancies. In this example, we have taken one of the highest shrinkage systems, applied all the shrinkage in a laterall direction (obviously untrue) and allowed unrestricted movement of the feature edge (also obviously untrue), but the observed increase in exposure latitude was only 25% %. In the experimental results (Figure 3), increases of up to 80% above theoretical maximum are seen; de-protection shrinkage cannot account for all of this increase, but could well be partially responsible. Table 2: Exposure latitude data for the NTD case with and without shrinkage. Proc. of SPIE Vol Y-9

10 Figure 11: Exposure latitude plot for the PTD case with and without shrinkage. 5. CONCLUSIONS Experimental NTD process data shows that these processes transcend the prediction capabilities of current chemically amplified physical models. One obvious potential source of this errorr is de-protection shrinkage. Results from two simplified models show that shrinkage has negligible effect of the final resist profiles for PTD systems (hence it is omitted from current models) but it can have a significant impact on NTD systems. Firstly, shrinkage in the vertical direction modifies the resist profile adding observed profile artifacts. Secondly, lateral shrinkage can act to give a significant increase in exposure latitude; however the magnitude of this increase seems insufficient to entirely account for the observed experimental discrepancies. Further work is required to investigate other physical sources of increased exposure latitude and implement a more rigorously accurate 3D shrinkage model. REFERENCES 1. Mack, C. A., Connors, J. E., Fundamental differences between positive and negative tone imaging, Proc SPIE 1466, (1991) 2. Pugliano, N., Bolton, P., Barbieri, T., Reilly, M., King, M., Lawrence, W., Kang, D., Barclay, G., Negative tone 193 nm photoresists, Proc. SPIE 5039, (2003) 3. Ando, T., Abe, S.., Takasu, R., Iwashita, J., Matsumaru, S., Watababe, R.., Hirahara, K.., Suzuki, Y., Iwai, T., Topcoat-free ArF negative tone resist, Proc SPIE 7273, (2009) 4. Tarutani, S., Hideaki, H., Kamimura, S., Development of materials and processes for negative tone development towards 32-nm node 193-nm immersion double-patterning process, Proc. SPIE 7273 (2009) 5. Tarutani, S., Kamimura, S., Yokoyama, J., Process parameter influence to negative tone development process for double patterning, Proc. SPIE 7639, (2010) 6. Van Look, L., Bekaert, J., Truffert, V., Wiaux, V., Lazzarino, F., Maenhoudt, M., Vandenberghe, G., Reybrouck, M., Tarutani, S., Printing the metal and contact layers for the 32 and 222 nm node: Comparing positive and negative tone development process, Proc. SPIE 7640, (2010) 7. Bekaert, J., Van Look, L., Truffert, V., Lazzarino, F., Vandenberghe, G., Reybrouck, M., Tarutani, S., Comparing positive and negative tone development process for printing the metal and contact layers of the 32- and 22-nm nodes, J. Micro/Nanolith. MEMS MOEMS, Vol. 9(4), (2010) 8. Mack, C. A., Thirty years of lithography simulation, Proc. SPIE 5754 (2005) 9. Mack, C. A., Development of positive photoresist, J. Electrochemical Society, Vol. 134 No. 1, pp. 148, (Jan 1987) Proc. of SPIE Vol Y-10

11 10. Mack, C., A., New kinetic model for resist dissolution, J. Electrochemical Society, Vol. 139 No. 4, pp. L35, (Apr 1992) 11. Arthur, G., Mack, C., A., Martin, B., A new development model for lithography simulation, Proc Olin Microlithography Seminar Interface 97, pp 55, (1997) 12. Hansen, S. G., The resist vector: connecting the aerial image to reality, Proc. SPIE 4690, (2002) 13. Biafore, J. J., Kapasi, S., Robertson, S. A., smith, M. D., The divergence of image and resist process metrics, Proc. SPIE 7140, (2008) 14. Robertson, S. A., Biafore, J. J., Graves, T., smith, M. D., Rigorous physical modeling of a materials-based frequency doubling lithography process, Proc SPIE 6923, (2008) 15. Biafore, J. J., Robertson, S. A., Smith, M. D., Sallee, C., The accuracy of a calibrated PROLITH physical resist model across illumination conditions, Proc SPIE 6521 (2007) 16. Robertson, S. A., Kim, B. S., Choi W. H., Kim Y. H., Biafore, J. J., Smith, M. D., Evaluating the accuracy of a calibrated rigorous physical resist model under various process and illumination conditions, Proc. SPIE 6924 (2008) 17. Yong, L. H., Chun C. L., Chaing-Lin S., Biafore J. J., Roberson S. A., Advantages of a calibrated physical resist model for pattern prediction, Proc. SPIE 6923 (2008) 18. Vasek, J. E., Chin-Min, Y., Biafore J. J., Robertson, S. A., Site portability and extrapolative accuracy of a predictive resist model, Proc. SPIE 6925, (2008) Proc. of SPIE Vol Y-11

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Photomask and X-Ray Mask Technology VII SPIE Vol. 466, pp. 172-179. It is made available

More information

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XVI, SPIE Vol. 3678, pp. 1-1011. It

More information

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XVIII, SPIE Vol. 4345, pp. 1013-1021.

More information

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Lithography for Semiconductor Manufacturing SPIE Vol. 3741, pp. 148-160. It is made

More information

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 2th Annual BACUS Symposium on Photomask Technology, SPIE Vol. 67- and is made available

More information

Resist material for negative tone development process

Resist material for negative tone development process Resist material for negative tone development process FUJIFILM Corporation Electronic Materials Research Laboratories P-1 Outline 1. Advantages of negative tone imaging for DP 2. Process maturity of negative

More information

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y T u t o r (Summer 1994) Swing Curves Chris A. Mack, FINLE Technologies, Austin, Texas In the last edition of this column, we saw that exposing a photoresist involves the propagation

More information

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

Methodology of modeling and simulating line-end shortening effects in deep-uv resist Methodology of modeling and simulating line-end shortening effects in deep-uv resist Mosong Cheng*, Ebo Croffie, Andrew Neureuther Electronics Research Laboratory Department of Electrical Engineering and

More information

Characterization of Optical Proximity Correction Features

Characterization of Optical Proximity Correction Features Characterization of Optical Proximity Correction Features John Allgair, Michelle Ivy, Kevin Lucas, John Sturtevant Motorola APRDL, Austin, TX 7871 Richard Elliott, Chris A. Mack, Craig MacNaughton, John

More information

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Lithography for Semiconductor Manufacturing SPIE Vol. 4404, pp. 111-122. It is made

More information

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography February 26, 2009 Shinji Tarutani FUJIFILM Corporation Research & Development Management Headquarters Electronic Materials Research

More information

High Optical Density Photomasks For Large Exposure Applications

High Optical Density Photomasks For Large Exposure Applications High Optical Density Photomasks For Large Exposure Applications Dan Schurz, Warren W. Flack, Makoto Nakamura Ultratech Stepper, Inc. San Jose, CA 95134 Microlithography applications such as advanced packaging,

More information

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking Improving resist resolution and sensitivity via electric-field enhanced postexposure baking Mosong Cheng, a) Lei Yuan, Ebo Croffie, and Andrew Neureuther Electronics Research Laboratory, University of

More information

Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XVI, SPIE Vol. 5040, pp. 151-161. It is made available

More information

Application of Stochastic Modeling to Resist Optimization Problems

Application of Stochastic Modeling to Resist Optimization Problems Application of Stochastic Modeling to Resist Optimization Problems John J. Biafore, Mark D. Smith KLA-Tencor, FINLE Division, North Capital of Texas Highway, Austin, TX 779 BACKGROUND: Modifying specific

More information

Particle Generation during Photoresist Dissolution

Particle Generation during Photoresist Dissolution Particle Generation during Photoresist Dissolution Siddharth Chauhan a, Mark Somervell b, Michael Carcasi b, Steven Scheer b, Roger T. Bonnecaze a, Chris Mack c and C. Grant Willson a a Department of Chemical

More information

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Title Spatial scaling metrics of mask-induced induced line-edge roughness Permalink https://escholarship.org/uc/item/5rc666c3

More information

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law Chris A. Mack Lithoguru.com, 1605 Watchhill Rd, Austin, TX 78703 Abstract Moore s Law, the idea that every

More information

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists INTERFACE '95 This paper was published in the proceedings of the OCG Microlithography Seminar, Interface '95, pp. 217-228. It is made available as an electronic reprint with permission of OCG Microelectronic

More information

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists Nickhil Jakatdar 1, Junwei Bao, Costas J. Spanos Dept. of Electrical Engineering and Computer Sciences, University

More information

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media.

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media. originates from the limited number of options regarding orthogonal solvents, i.e. solvents that do not dissolve or adversely damage a pre-deposited organic materials layer. The simplest strategy to achieve

More information

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Senichi Nishibe a, Thaddeus Dziura a, Venkat Nagaswami a, Roel Gronheid b a KLA-Tencor Corporation, 1 Technology Drive, Milpitas

More information

Critical Dimension Uniformity using Reticle Inspection Tool

Critical Dimension Uniformity using Reticle Inspection Tool Critical Dimension Uniformity using Reticle Inspection Tool b Mark Wylie, b Trent Hutchinson, b Gang Pan, b Thomas Vavul, b John Miller, b Aditya Dayal, b Carl Hess a Mike Green, a Shad Hedges, a Dan Chalom,

More information

Electron-beam SAFIER process and its application for magnetic thin-film heads

Electron-beam SAFIER process and its application for magnetic thin-film heads Electron-beam SAFIER process and its application for magnetic thin-film heads XiaoMin Yang, a) Harold Gentile, Andrew Eckert, and Stanko R. Brankovic Seagate Research Center, 1251 Waterfront Place, Pittsburgh,

More information

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. Copyright 001 by e Society of Photo-Optical Instrumentation Engineers. This paper was published in e proceedings of Photomask and X-Ray Mask Technology VIII SPIE Vol. 4409, pp. 194-03. It is made available

More information

DUV Positive Photoresists

DUV Positive Photoresists Journal of Photopolymer Science and Technology Volume 5, Number 1(1992) 207-216 Factors Affecting the Performance of Chemically DUV Positive Photoresists Amplified James W. Thackeray, Theodore H. Fedynyshyn,

More information

Introduction. Photoresist : Type: Structure:

Introduction. Photoresist : Type: Structure: Photoresist SEM images of the morphologies of meso structures and nanopatterns on (a) a positively nanopatterned silicon mold, and (b) a negatively nanopatterned silicon mold. Introduction Photoresist

More information

Development of Lift-off Photoresists with Unique Bottom Profile

Development of Lift-off Photoresists with Unique Bottom Profile Transactions of The Japan Institute of Electronics Packaging Vol. 8, No. 1, 2015 [Technical Paper] Development of Lift-off Photoresists with Unique Bottom Profile Hirokazu Ito, Kouichi Hasegawa, Tomohiro

More information

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 21 st Annual BACUS Symposium on Photomask Technology SPIE Vol. 4562, pp. 486-495.

More information

The MEEF Shall Inherit the Earth

The MEEF Shall Inherit the Earth The MEEF Shall Inherit the Earth. 1 1 2 2.3 4 Will Conley, Cesar Garza, Mircea Dusa, Robert Socha, Joseph Bendik, Chris Mack 1Motorola Austin, TX 2ASML Mask Tools San Jose, CA 3Dynamic Intelligence Inc.

More information

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Photolithography Overview  9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview http://www.intel.com/research/silicon/mooreslaw.htm 1 Moore s law only holds due to photolithography advancements in reducing linewidths 2 All processing to create electric components and circuits rely

More information

A Parameter Extraction Framework for DUV Lithography Simulation

A Parameter Extraction Framework for DUV Lithography Simulation A Parameter Extraction Framework for DUV Lithography Simulation Nickhil Jakatdar 1, Junwei Bao, Costas J. Spanos Dept. of Electrical Engineering and Computer Sciences, University of California at Berkeley,

More information

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks Hongseong Sohn and John Tracy Akrion Systems 6330 Hedgewood Drive, Suite 150 Allentown, PA 18106, USA Abstract

More information

Copyright 1994 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1994 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1994 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XI, SPIE Vol. 2195, pp. 584-595. It

More information

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Manish Chandhok, a Heidi Cao, a Wang Yueh, a Eric Gullikson, b Robert Brainard, c Stewart Robertson c a Intel Corporation,

More information

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center Functional Materials for Advanced Patterning Robert D. Allen Business Unit or Product Name IBM Almaden Research Center 2003 IBM Corporation Resists/Materials for Advanced Patterning Trends in Lithography

More information

We published the text from the next page.

We published the text from the next page. Title:Shedding light on EUV mask inspection Authors:Kazunori Seki, Karen Badger, Emily Gallagher, Toshio Konishi, Gregory McIntyre Publisher:Photomask Japan 2012(SPIE) Citation:Kazunori Seki, Karen Badger,

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XIV, SPIE Vol. 3049, pp. 706-711. It

More information

Hyper-NA imaging of 45nm node random CH layouts using inverse lithography

Hyper-NA imaging of 45nm node random CH layouts using inverse lithography Hyper-NA imaging of 45nm node random CH layouts using inverse lithography E. Hendrickx* a, A. Tritchkov b, K. Sakajiri b, Y. Granik b, M. Kempsell c, G. Vandenberghe a a IMEC, Kapeldreef 75, B-3001, Leuven,

More information

Simulation and characterization of surface and line edge roughness in photoresists before and after etching

Simulation and characterization of surface and line edge roughness in photoresists before and after etching Simulation and characterization of surface and line edge roughness in photoresists before and after etching Motivation of this work : Sub 100nm lithographic features often suffer from roughness. Need to

More information

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node Takahiro Kozawa and Seiichi Tagawa The Institute of Scientific and Industrial Research, Osaka University, 8-1

More information

ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM

ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM Jan van Schoot, Bert Koek, Chris de Mol, Peter van Oorschot. ASML Veldhoven, The Netherlands This paper was first presented at the Semicon/

More information

Pattern Collapse. T h e L i t h o g r a p h y E x p e r t (November 2006) Chris A. Mack, Austin, Texas

Pattern Collapse. T h e L i t h o g r a p h y E x p e r t (November 2006) Chris A. Mack, Austin, Texas Tutor55.doc: Version 8/10/06 T h e L i t h o g r a p h y E x p e r t (November 2006) Pattern Collapse Chris A. Mack, Austin, Texas Not long ago, defining what an acceptable resist profile looked like was

More information

Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication

Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication Benjamen M. Rathsack, Cyrus E. Tabery, Timothy B. Stachowiak, Jeff Albelo 2 and C. Grant Willson Department

More information

A Simple Model of Line-Edge Roughness

A Simple Model of Line-Edge Roughness A Simple Model of Line-Edge Roughness Chris A. Mack www.lithoguru.com Abstract A stochastic modeling approach is used to predict the results of the exposure and post-exposure bake of a chemically amplified

More information

Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation

Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation Masaya Kato a, Hideki Inuzuka a, Takeshi Kosuge a, Shingo Yoshikawa a, Koichi Kanno a, Hidemichi

More information

Horizontal-Vertical (H-V) Bias, part 2

Horizontal-Vertical (H-V) Bias, part 2 Tutor52.doc: Version 11/4/05 T h e L i t h o g r a p h y E x p e r t (February 2006) Horizontal-Vertical (H-V) Bias, part 2 Chris A. Mack, Austin, Texas In the last edition of this column we looked at

More information

FEM Modeling of Shrinkage Effects in Negative Tone Photoresists

FEM Modeling of Shrinkage Effects in Negative Tone Photoresists FEM Modeling of Shrinkage Effects in Negative Tone Photoresists Master s Thesis/Masterarbeit in the field of Computational Engineering by Sean Dominic D Silva Department Informatik Lehrstuhl für Informatik

More information

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process MEEN 489-500 Nanoscale Issues in Manufacturing Lithography Lecture 1: The Lithographic Process 1 Discuss Reading Assignment 1 1 Introducing Nano 2 2 Size Matters 3 3 Interlude One-The Fundamental Science

More information

Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry

Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry 1 Kin Wai Tang, 1 Teng Hwee Ng, 1 Lei Huang, 1 Susan Ng, 1 Thomas Ku, 2 Wee Teck Chia, 2 Lin

More information

Impact of Pellicle on Overlay in Double Patterning Lithography

Impact of Pellicle on Overlay in Double Patterning Lithography Impact of Pellicle on Overlay in Double Patterning Lithography Oliver Loeffler 1, Frank Laske 2, Michael Ferber 2, Klaus-Dieter Roeth 2, Lin Chua 3, You Seung Jin 3, Gino Marcuccilli 3, Venkat Nagaswami

More information

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device Hyung-Seop Kim, Yong Min Cho, Byoung-Ho Lee Semiconductor R&D Center, Device Solution Business, Samsung

More information

Techniken der Oberflächenphysik (Techniques of Surface Physics)

Techniken der Oberflächenphysik (Techniques of Surface Physics) Techniken der Oberflächenphysik (Techniques of Surface Physics) Prof. Yong Lei & Dr. Yang Xu (& Liying Liang) Fachgebiet 3D-Nanostrukturierung, Institut für Physik Contact: yong.lei@tu-ilmenau.de; yang.xu@tu-ilmenau.de;

More information

Lecture 8. Photoresists and Non-optical Lithography

Lecture 8. Photoresists and Non-optical Lithography Lecture 8 Photoresists and Non-optical Lithography Reading: Chapters 8 and 9 and notes derived from a HIGHLY recommended book by Chris Mack, Fundamental Principles of Optical Lithography. Any serious student

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning SEMI Texas Spring Forum May 21, 2013 Austin, Texas Author / Company / Division / Rev. / Date A smartphone today has

More information

Stochastic modeling of photoresist development in two and three dimensions

Stochastic modeling of photoresist development in two and three dimensions J. Micro/Nanolith. MEMS MOEMS 9(4), 04202 (Oct Dec 200) Stochastic modeling of photoresist development in two and three dimensions Chris A. Mack 605 Watchhill Road Austin, Texas 78703 E-mail: chris@lithoguru.com

More information

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process John C. Lam, Alexander Gray n&k Technology, Inc., Santa Clara, CA ABSTRACT Critical dimension (CD) metrology

More information

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node Darren Taylor Photronics, Allen TX 75013 Anthony Vacca, Larry Zurbrick KLA Tencor, 160 Rio Robles, San

More information

Across-wafer CD Uniformity Enhancement through Control of Multi-zone PEB Profiles

Across-wafer CD Uniformity Enhancement through Control of Multi-zone PEB Profiles Across-wafer CD Uniformity Enhancement through Control of Multi-zone PEB Profiles Qiaolin Zhang *a, Paul Friedberg b, Cherry Tang c Bhanwar Singh c, Kameshwar Poolla a, Costas J. Spanos b a Dept of Mechanical

More information

Tilted ion implantation as a cost-efficient sublithographic

Tilted ion implantation as a cost-efficient sublithographic Tilted ion implantation as a cost-efficient sublithographic patterning technique Sang Wan Kim 1,a), Peng Zheng 1, Kimihiko Kato 1, Leonard Rubin 2, Tsu-Jae King Liu 1 1 Department of Electrical Engineering

More information

Chromeless Phase Lithography (CPL)

Chromeless Phase Lithography (CPL) Chromeless Phase Lithography (CPL) Chromeless Phase Lithography or CPL is a recent development in the area of phase shifting technology that is extending the perceived k 1 limits and has the potential

More information

Top down and bottom up fabrication

Top down and bottom up fabrication Lecture 24 Top down and bottom up fabrication Lithography ( lithos stone / graphein to write) City of words lithograph h (Vito Acconci, 1999) 1930 s lithography press Photolithography d 2( NA) NA=numerical

More information

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen Lecture 150 Basic IC Processes (10/10/01) Page 1501 LECTURE 150 BASIC IC PROCESSES (READING: TextSec. 2.2) INTRODUCTION Objective The objective of this presentation is: 1.) Introduce the fabrication of

More information

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations Chapter 2 Process Variability Overview Parameter variability has always been an issue in integrated circuits. However, comparing with the size of devices, it is relatively increasing with technology evolution,

More information

Overlay Aware Interconnect and Timing Variation Modeling for Double Patterning Technology

Overlay Aware Interconnect and Timing Variation Modeling for Double Patterning Technology Overlay Aware Interconnect and Timing Variation Modeling for Double Patterning Technology Jae-Seok Yang, David Z. Pan Dept. of ECE, The University of Texas at Austin, Austin, Tx 78712 jsyang@cerc.utexas.edu,

More information

= n. Psin. Qualitative Explanation of image degradation by lens + 2. parallel optical beam. θ spatial frequency 1/P. grating with.

= n. Psin. Qualitative Explanation of image degradation by lens + 2. parallel optical beam. θ spatial frequency 1/P. grating with. Qualitative Explanation of image degradation by lens Mask + 2 lens wafer plane +1 φ 0 parallel optical beam -2-1 grating with θ spatial frequency 1/P Psin φ = n λ n = 0, ± 1, ± 2,... L S P l m P=2L sin

More information

Important challenge for the extension of Spacer DP process

Important challenge for the extension of Spacer DP process Important challenge for the extension of Spacer DP process H. Yaegashi Tokyo Electron Limited Leading-edge Process development center Kobe, JAPAN 21 October 2010 1 Outline Background Lithographic scaling

More information

The Effect of Electrostatic Surface Charges on Photoresist Dissolution

The Effect of Electrostatic Surface Charges on Photoresist Dissolution Sean Burns 4/24/00 Dr. Bonnecaze ChE 385M The Effect of Electrostatic Surface Charges on Photoresist Dissolution Introduction/Motivation It would be very useful and economical to have a fundamental model

More information

Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation

Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation Study of Deprotection Reaction during Exposure in hemically Amplified Resists for Lithography Simulation Yasuhiro Miyake, Mariko Isono and Atsushi Sekiguchi Litho Tech Japan orporation, 2-6-6-201, Namiki,

More information

More on Stochastics and the Phenomenon of Line-Edge Roughness

More on Stochastics and the Phenomenon of Line-Edge Roughness More on Stochastics and the Phenomenon of Line-Edge Roughness Chris A. Mack 34 th International Photopolymer Science and Technology Conference Chiba, Japan, June 28, Conclusions We need more than just

More information

EUVL Readiness for High Volume Manufacturing

EUVL Readiness for High Volume Manufacturing EUVL Readiness for High Volume Manufacturing Britt Turkot Intel Corporation Outline Exposure Tool Progress Power Availability Intel demo results Reticle Defectivity Pellicle Materials Conclusion 2 Source

More information

Study on Improved Resolution of Thick Film Resist (Verification by Simulation)

Study on Improved Resolution of Thick Film Resist (Verification by Simulation) Study on Improved Resolution of Thick Film Resist (Verification by Simulation) Yoshihisa Sensu, Atsushi Sekiguchi, Yasuhiro Miyake Litho Tech Japan Corporation 2-6-6 Namiki, Kawaguchi, Saitama, 332-0034,

More information

Robust shadow-mask evaporation via lithographically controlled undercut

Robust shadow-mask evaporation via lithographically controlled undercut Robust shadow-mask evaporation via lithographically controlled undercut B. Cord, a C. Dames, and K. K. Berggren Massachusetts Institute of Technology, Cambridge, Massachusetts 02139-4309 J. Aumentado National

More information

Relationships between Stochastic Phenomena and Optical Contrast in Chemically Amplified Resist Process of Extreme Ultraviolet Lithography

Relationships between Stochastic Phenomena and Optical Contrast in Chemically Amplified Resist Process of Extreme Ultraviolet Lithography Journal of Photopolymer Science and Technology Volume 7, Number () 9 SPST Relationships between Stochastic Phenomena and Optical Contrast in Chemically Amplified Resist Process of Extreme Ultraviolet Lithography

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography 1 Reference 1. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (2001) 2. - (2004) 3. Semiconductor Physics and Devices-

More information

Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process

Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process Mandar Bhave, Kevin Edwards, Carlton Washburn Brewer Science, Inc., 2401 Brewer Dr., Rolla, MO 65401,

More information

Sensors and Metrology. Outline

Sensors and Metrology. Outline Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

Electric field enhancement in metallic and multilayer dielectric gratings

Electric field enhancement in metallic and multilayer dielectric gratings Electric field enhancement in metallic and multilayer dielectric gratings B. W. Shore, M. D. Feit, M. D. Perry, R. D. Boyd, J. A. Britten, R. Chow, G. E. Loomis Lawrence Livermore National Laboratory,

More information

Double patterning for 32nm and below: an update. ASML US Inc, 4211 Burton Dr. Santa Clara, CA, USA. IMEC vzw, Kapeldreef 75, B-3001 Heverlee, Belgium;

Double patterning for 32nm and below: an update. ASML US Inc, 4211 Burton Dr. Santa Clara, CA, USA. IMEC vzw, Kapeldreef 75, B-3001 Heverlee, Belgium; Double patterning for 32nm and below: an update Jo Finders (a), Mircea Dusa (b) Bert Vleeming (a) Henry Megens (a) Birgitt Hepp (a) Mireille Maenhoudt (c), Shaunee Cheng (c), Tom Vandeweyer (c) (a) ASML,

More information

Figure 1 below shows the generic process flow of an LELE method of double patterning.

Figure 1 below shows the generic process flow of an LELE method of double patterning. Multilayer Double Patterning MCEE 505/605 LM&P Rajiv Sejpal (585) 622-8081 rns4256@rit.edu Dept. of Microelectronics Engineering, Rochester Institute of Technology GOAL Due to the delay in next generation

More information

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems Metrology, 61 Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems Manish Chandhok,, Sang H. Lee, Christof Krautschik, Guojing Zhang, Bryan

More information

193 nm STEP AND SCAN LITHOGRAPHY

193 nm STEP AND SCAN LITHOGRAPHY 193 nm STEP AND SCAN LITHOGRAPHY Guy Davies, Judon Stoeldraijer, Barbra Heskamp, Jan Mulkens, Joost Sytsma, Hans Bakker ASML BV, De Run 111, 553 LA Veldhoven, The Netherlands Holger Glatzel, Christian

More information

Silicon VLSI Technology. Fundamentals, Practice and Modeling

Silicon VLSI Technology. Fundamentals, Practice and Modeling Text Book: Silicon VLSI Technology Fundamentals, Practice and Modeling Authors: J. D. Plummer, M. D. Deal, and P. B. Griffin Photolithography (Chap. 1) Basic lithography process Apply photoresist Patterned

More information

Accurate and Reliable Optical CD of MuGFET down to 10nm

Accurate and Reliable Optical CD of MuGFET down to 10nm Accurate and Reliable Optical D of MuGFET down to 1nm P Leray 1, G F Lorusso 1, heng 1, N ollaert 1, M Jurczak 1, hirke 2 1 IME, Kapeldreef 75, B31, Leuven, Belgium 2 VLI tandard, 387 N 1st treet an Jose

More information

Effect of PAG Location on Resists for Next Generation Lithographies

Effect of PAG Location on Resists for Next Generation Lithographies Effect of PAG Location on Resists for Next Generation Lithographies ber Research Group Materials Science & Engineering Ithaca, NY 14853 Development Trends in Microlithography 10 Contact Printer Architectures

More information

Actinic review of EUV masks: First results from the AIMS EUV system integration

Actinic review of EUV masks: First results from the AIMS EUV system integration Invited Paper Actinic review of EUV masks: First results from the AIMS EUV system integration Markus R. Weiss* a, Dirk Hellweg a, Jan Hendrik Peters b, Sascha Perlitz b, Anthony Garetto b, Michael Goldstein

More information

Bossung Curves; an old technique with a new twist for sub-90 nm nodes Terrence E. Zavecz TEA Systems

Bossung Curves; an old technique with a new twist for sub-90 nm nodes Terrence E. Zavecz TEA Systems Phone: (+01) 610 682 4146 Email: Info@TEAsystems.com http://www.teasystems.com TEA Systems Corporation 65 Schlossburg St. Alburtis, PA 18011 USA Bossung Curves; an old technique with a new twist for sub-90

More information

Thin Wafer Handling Challenges and Emerging Solutions

Thin Wafer Handling Challenges and Emerging Solutions 1 Thin Wafer Handling Challenges and Emerging Solutions Dr. Shari Farrens, Mr. Pete Bisson, Mr. Sumant Sood and Mr. James Hermanowski SUSS MicroTec, 228 Suss Drive, Waterbury Center, VT 05655, USA 2 Thin

More information

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by. PROCEEDINGS OF SPIE Alternative Lithographic Technologies VII Douglas J. Resnick Christopher Bencher Editors 23-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by DNS

More information

Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography

Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography Suchit Bhattarai Electrical Engineering and Computer Sciences University of California at Berkeley

More information

Understanding Molecular Level Effects during Post Exposure Processing

Understanding Molecular Level Effects during Post Exposure Processing Understanding Molecular Level Effects during Post Exposure Processing Gerard M. Schmid *a, Mark D. Smith b, Chris A. Mack b, Vivek K. Singh c, Sean D. Burns a, and C. Grant Willson a a The University of

More information

Current Status of Inorganic Nanoparticle Photoresists

Current Status of Inorganic Nanoparticle Photoresists Prof. Christopher K. ber Department of Materials Science and Engineering, Cornell University, Ithaca NY Current Status of Inorganic Nanoparticle Photoresists Markos Trikeriotis, Marie Krysak, Yeon Sook

More information

nmos IC Design Report Module: EEE 112

nmos IC Design Report Module: EEE 112 nmos IC Design Report Author: 1302509 Zhao Ruimin Module: EEE 112 Lecturer: Date: Dr.Zhao Ce Zhou June/5/2015 Abstract This lab intended to train the experimental skills of the layout designing of the

More information

Optical Proximity Correction

Optical Proximity Correction Optical Proximity Correction Mask Wafer *Auxiliary features added on mask 1 Overlay Errors + + alignment mask wafer + + photomask plate Alignment marks from previous masking level 2 (1) Thermal run-in/run-out

More information

Process window analysis for contact hole shrinking: A simulation study

Process window analysis for contact hole shrinking: A simulation study Process window analysis for contact hole shrinking: A simulation study Andreas Erdmann 1, Przemysław Michalak 1, Mohamed Ismail 1,2, Tim Fühner 1, Maxime Argoud 3, Raluca Tiron 3, Ahmed Gharbi 3, Juan

More information

Lecture 14 Advanced Photolithography

Lecture 14 Advanced Photolithography Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes

More information

Highly Sensitive Color-Tunablility by Scalable. Nanomorphology of Dielectric Layer in Liquid Permeable. Metal-Insulator-Metal Structure

Highly Sensitive Color-Tunablility by Scalable. Nanomorphology of Dielectric Layer in Liquid Permeable. Metal-Insulator-Metal Structure Supporting Information Highly Sensitive Color-Tunablility by Scalable Nanomorphology of Dielectric Layer in Liquid Permeable Metal-Insulator-Metal Structure Eui-Sang Yu,, Sin-Hyung Lee, Young-Gyu Bae,

More information