Effect of PAG Location on Resists for Next Generation Lithographies

Size: px
Start display at page:

Download "Effect of PAG Location on Resists for Next Generation Lithographies"

Transcription

1 Effect of PAG Location on Resists for Next Generation Lithographies ber Research Group Materials Science & Engineering Ithaca, NY 14853

2 Development Trends in Microlithography 10 Contact Printer Architectures N X H 3 C N H 3 C Resolution (µm) Cyclized Rubber 436nm 365nm 248nm DNQ - Novolak HS Copolymers 193nm H H H H Hydrocarbon Polymers Year H

3 Positive Chemically Amplified Photoresist Chemistry hv H + H Aqueous Base Dissolution H PAG H 0.40µm ( ) 4 N + H µm

4 Photoacid Generators - PAGs I h? Homolysis I + RS 3 RS 3 XH Radical Abstraction / Protonation X I + RS 3 H Dissociation I H + RS 3

5 Rutherford Backscattering? Depth resolution ~ 200 A Res is t Lay er? RBS spectrum contains information about target s? mass? concentration? depth profile? Most photoacid generators (PAGs) have a self-label such as Sb, I, etc He PAG Ion Beam E (MeV) 0 E 1 E 2 Energy Sensitive Detector E M < M 1 2 E 1 2

6 Diffusion of PAG and Photogenerated Acid Concentration (a.u.) PAG (1) Exposed SbPAG - experimental Exposed SbPAG - simulated Unexposed SbPAG - experimental Unexposed SbPAG - simulated Photogenerated acid (2) Thickness (Â) 120 o C/60s S + Sb F 6 - (1) h?,? H Sb F 6 (2)

7 Photoacid Generator (PAG) Distribution = PAG = Matrix H Br S 2 CF 2 CF 2 CF 2 CF 3 Uneven distribution of PAG Si wafer T-topping Closure Footing

8 Effect of Block Copolymers As Additives THPMA-b-IBMA with and without IBMA-b-MMA additive C C THPMA-b-IBMA with wafer C C MMA-b-IBMA 20 with bcp without bcp Normalized film thickness

9 Block Copolymers as Additives CH 2 CH C 2 CH C 2 C a b c C C C IBM zero thinning resist H 3 C C H MMA-tBMA-MAA with 2 wt% MMA-b-IBMA 5800 A thick films ; PAB -140C/60s PEB - 140C/60s ; Dev TMAH

10 Effect of Block Copolymer Additives - SIMS Measurements 1000 Carbon Photoacid generator Deuterium of Block Copolymer Additive I CF 3 (CF 2 ) 3 S 3 Concentration (a.u.) Iodine of PAG with additive Iodine of PAG without additive (5 wt%) CD 3 CD C b 2 CH 2 C a b C C CD Air-polymer interface Thickness (Å) substrate-polymer interface Block copolymer additive 2 wt%

11 Polymers for Chemically Amplified Photoresists H H + H pk a = 9-10 H + H pk a = 9-10 / pk a = 4-5 H H H + pk a = 4-5 H H H + pk a = 4-5 / Anhydride H

12 Labeling of Photoacid Generators for RBS Self Labeled No Label S S SbF 6 RS 3 CF 3 S 3 I N S 2 CF 3 Heavy Atoms: Sb (51), As (75), Br (79), I (127) Light Atoms: C (12), N (14), (16), F (18), S (32)

13 Design of Labeled PAGs for RBS Study Iodonium Polar PAGs Non Polar Sulfonate Esters I-1 I CF 3 S 3 Br-1 Br S 2 I-2 I CF 3 (CF2) 3 S 3 Br-2 Br S 2 CH 2 CH 2 CH 2 CH 2 CH 2 CH 2 CH 2 I-3 I CF 3 (CF 2 ) 7 S 3 Br-3 Br S 2 CF 2 CF 2 CF 2 CF 3 H 3 C I-4 I S 3 Br-4 Br S 2 CF 2 CF 2 CF 2 CF 2 CF 2 CF 2 CF 2 CF 3 I - Labeled Br - Labeled

14 Design of Non-Polar Sulfonate Ester PAGs Moiety to Investigate Structural Effects Br S 2 RBS Label Steric Hindeance for Thermal Stability Thermally Stable in Phenolic and Methacrylate Matrices Photogenerates acid via Blocked Photo-Fries

15 Model Photoresist Matrices 248 nm 193 nm H H H 70/30 57/20/23 Hydrophilic Hydrophobic

16 Distribution of Polar Ionic PAGs in Poly(4-Hydroxystyrene) I CF 3 S 3 I CF 3 (CF2) 3 S 3 Si Air H I CF 3 (CF 2 ) 7 S 3 H 3 C I S 3 S I The distribution of Iodonium PAGs is uniform through the depth of the PHS film independent of counter ion

17 Distribution of Polar Ionic PAGs in 193 nm Acrylic Matrices I CF 3 S I CF 3 (CF2) 3 S CF3S CF3(CF2)3S3 CF3(CF2)7S Normalized film thickness I CF 3 (CF 2 ) 7 S 3 Degree of segregation is dependent upon lenght of fluoronated counter ion

18 RBS Spectra of N-xy Sulfonate in PHS N S 2 CF 3 Si Air H S Collaboration with G. Barclay, Shipley Heavy Atoms: Sb (51), As (75), Br (79), I (127) Light Atoms: C (12), N (14), (16), F (18), S (32)

19 RBS Spectra of Iodonium Triflate in PHS CF 3 S 3 I Si Air H Si Air

20 Distribution of Non-Polar PAGs in PHS Copolymers Uniform Distribution Si Air Br-1 Br-2 Br Br S 2 S 2 CH 2 CH 2 CH 2 CH 2 CH 2 CH 2 CH 2 H 70/30 Non-uniform Distribution Br-3 Br S 2 CF 2 CF 2 CF 2 CF 3 Phase Separation - Insoluble in Matrix Br-4 Br S 2 CF 2 CF 2 CF 2 CF 2 CF 2 CF 2 CF 2 CF 3

21 Distribution of Non-Polar PAGs in Model 193 Matrices PAG concentration, atomic % wafer c8h17 c4f9 c8f17 air Br S 2 (CH 2 ) 3 Br S 2 (CF 2 ) 3 CF 3 Br S 2 (CF 2 ) 7 CF 3 c8h17 c4f9 c8f Normalized film thickness H Matrix

22 Distribution of Non-Polar PAGs in Model 193 Matrices Br-4 Br S 2 CF 2 CF 2 CF 2 CF 2 CF 2 CF 2 CF 2 CF 3 Si Air H S I Matrix

23 Comparison of PAG Distribution Different Resist Matrices PHS-tBA IBMA-MMA-tBMA Non Polar Sulfonate PAG Br S 2 (CF 2 ) 3 CF H H Normalized film thickness

24 Dissolution Behavior Incident Light Photoresist Substrate H 70/ Time (A sec) Time (Sec)

25 Dissolution Behavior of Matrix Containing Model PAG H 3 C F 3 CF 2 CF 2 CF 2 C 2 S H 3 C Br H 70/ Time (sec) Time (sec)

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Photolithography Overview  9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview http://www.intel.com/research/silicon/mooreslaw.htm 1 Moore s law only holds due to photolithography advancements in reducing linewidths 2 All processing to create electric components and circuits rely

More information

Polymer Matrix Effects on EUV Acid Generation

Polymer Matrix Effects on EUV Acid Generation Polymer Matrix Effects on EUV Acid Generation Theodore H. Fedynyshyn, Russell B. Goodman, and Jeanette Roberts # Lincoln Laboratory Massachusetts Institute of Technology # Intel Corporation The Lincoln

More information

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists INTERFACE '95 This paper was published in the proceedings of the OCG Microlithography Seminar, Interface '95, pp. 217-228. It is made available as an electronic reprint with permission of OCG Microelectronic

More information

Current Status of Inorganic Nanoparticle Photoresists

Current Status of Inorganic Nanoparticle Photoresists Prof. Christopher K. ber Department of Materials Science and Engineering, Cornell University, Ithaca NY Current Status of Inorganic Nanoparticle Photoresists Markos Trikeriotis, Marie Krysak, Yeon Sook

More information

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media.

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media. originates from the limited number of options regarding orthogonal solvents, i.e. solvents that do not dissolve or adversely damage a pre-deposited organic materials layer. The simplest strategy to achieve

More information

Exploration of non-chemically amplified resists based on chain-scission mechanism for 193 nm lithography

Exploration of non-chemically amplified resists based on chain-scission mechanism for 193 nm lithography Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 2010 Exploration of non-chemically amplified resists based on chain-scission mechanism for 193 nm lithography

More information

Novel Molecular Materials Based on Noria and Double Calixarene (Beryllus) for EB and EUV Resist Systems

Novel Molecular Materials Based on Noria and Double Calixarene (Beryllus) for EB and EUV Resist Systems 2010 International Workshop on EUV Lithography Makena Beach Golf Resort June 21-25, 25, 2010, Maui, Hawaii Novel Molecular Materials Based on Noria and Double Calixarene (Beryllus) for EB and EUV Resist

More information

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y T u t o r (Summer 1994) Swing Curves Chris A. Mack, FINLE Technologies, Austin, Texas In the last edition of this column, we saw that exposing a photoresist involves the propagation

More information

Next: 193nm Lithography

Next: 193nm Lithography Lecture 16 Chemical Engineering for Micro/Nano Fabrication Next: 193nm Lithography Absorption coefficient [1/µm] Absorption of Photoresist Polymers 2.8 Poly-(4-hydroxystyrene) 2.4 Meta-cresol novolak 2.0

More information

Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication

Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication Benjamen M. Rathsack, Cyrus E. Tabery, Timothy B. Stachowiak, Jeff Albelo 2 and C. Grant Willson Department

More information

Development of Lift-off Photoresists with Unique Bottom Profile

Development of Lift-off Photoresists with Unique Bottom Profile Transactions of The Japan Institute of Electronics Packaging Vol. 8, No. 1, 2015 [Technical Paper] Development of Lift-off Photoresists with Unique Bottom Profile Hirokazu Ito, Kouichi Hasegawa, Tomohiro

More information

5. Photochemistry of polymers

5. Photochemistry of polymers 5. Photochemistry of polymers 5.1 Photopolymerization and cross-linking Photopolymerization The fundamental principle of photopolymerization is based on the photoinduced production of a reactive species,

More information

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center Functional Materials for Advanced Patterning Robert D. Allen Business Unit or Product Name IBM Almaden Research Center 2003 IBM Corporation Resists/Materials for Advanced Patterning Trends in Lithography

More information

Exploration of non-chemically amplified resists based on dissolution inhibitors for 193 nm lithography

Exploration of non-chemically amplified resists based on dissolution inhibitors for 193 nm lithography Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 2010 Exploration of non-chemically amplified resists based on dissolution inhibitors for 193 nm lithography Burak

More information

Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation

Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation Study of Deprotection Reaction during Exposure in hemically Amplified Resists for Lithography Simulation Yasuhiro Miyake, Mariko Isono and Atsushi Sekiguchi Litho Tech Japan orporation, 2-6-6-201, Namiki,

More information

Introduction. Photoresist : Type: Structure:

Introduction. Photoresist : Type: Structure: Photoresist SEM images of the morphologies of meso structures and nanopatterns on (a) a positively nanopatterned silicon mold, and (b) a negatively nanopatterned silicon mold. Introduction Photoresist

More information

Composition and Photochemical Mechanisms of Photoresists

Composition and Photochemical Mechanisms of Photoresists OpenStax-CNX module: m25525 1 Composition and Photochemical Mechanisms of Photoresists Andrew R. Barron This work is produced by OpenStax-CNX and licensed under the Creative Commons Attribution License

More information

LOW-TEMPERATURE Si (111) HOMOEPITAXY AND DOPING MEDIATED BY A MONOLAYER OF Pb

LOW-TEMPERATURE Si (111) HOMOEPITAXY AND DOPING MEDIATED BY A MONOLAYER OF Pb LOW-TEMPERATURE Si (111) HOMOEPITAXY AND DOPING MEDIATED BY A MONOLAYER OF Pb O.D. DUBON, P.G. EVANS, J.F. CHERVINSKY, F. SPAEPEN, M.J. AZIZ, and J.A. GOLOVCHENKO Division of Engineering and Applied Sciences,

More information

The deprotection reaction front profile in model 193 nm methacrylate-based chemically amplified photoresists

The deprotection reaction front profile in model 193 nm methacrylate-based chemically amplified photoresists The deprotection reaction front profile in model 193 nm methacrylate-based chemically amplified photoresists Bryan D. Vogt a, Shuhui Kang a, Vivek M. Prabhu *a, Ashwin Rao a, Eric K. Lin a, Sushil K. Satija

More information

High aspect ratio micro/nano machining with proton beam writing

High aspect ratio micro/nano machining with proton beam writing High aspect ratio micro/nano machining with proton beam writing M. hatzichristidi, E. Valamontes, N. Tsikrikas, P. Argitis, I. Raptis Institute of Microelectronics, NSR Demokritos Athens, 15310 Greece

More information

Particle Generation during Photoresist Dissolution

Particle Generation during Photoresist Dissolution Particle Generation during Photoresist Dissolution Siddharth Chauhan a, Mark Somervell b, Michael Carcasi b, Steven Scheer b, Roger T. Bonnecaze a, Chris Mack c and C. Grant Willson a a Department of Chemical

More information

Optical Proximity Correction

Optical Proximity Correction Optical Proximity Correction Mask Wafer *Auxiliary features added on mask 1 Overlay Errors + + alignment mask wafer + + photomask plate Alignment marks from previous masking level 2 (1) Thermal run-in/run-out

More information

Understanding electron energy loss mechanisms in EUV resists using EELS and first-principles calculations

Understanding electron energy loss mechanisms in EUV resists using EELS and first-principles calculations Understanding electron energy loss mechanisms in EUV resists using EELS and first-principles calculations Robert Bartynski Sylvie Rangan Department of Physics & Astronomy and Laboratory for Surface Modification

More information

Au-Ti THIN FILMS DEPOSITED ON GaAs

Au-Ti THIN FILMS DEPOSITED ON GaAs Au-Ti THIN FILMS DEPOSITED ON GaAs R. V. GHITA *, D. PANTELICA**, M. F. LAZARESCU *, A. S. MANEA *, C. LOGOFATU *, C. NEGRILA *, V. CIUPINA *** * National Institute of Material Physics, P.O. Box MG7, Mãgurele,

More information

Introduction to X-ray Photoelectron Spectroscopy (XPS) XPS which makes use of the photoelectric effect, was developed in the mid-1960

Introduction to X-ray Photoelectron Spectroscopy (XPS) XPS which makes use of the photoelectric effect, was developed in the mid-1960 Introduction to X-ray Photoelectron Spectroscopy (XPS) X-ray Photoelectron Spectroscopy (XPS), also known as Electron Spectroscopy for Chemical Analysis (ESCA) is a widely used technique to investigate

More information

Aqueous base developable: easy stripping, high aspect ratio negative photoresist for optical and proton beam lithography

Aqueous base developable: easy stripping, high aspect ratio negative photoresist for optical and proton beam lithography Microsyst Technol (2008) 14:1423 1428 DOI 10.1007/s00542-008-0571-x TECHNICAL PAPER Aqueous base developable: easy stripping, high aspect ratio negative photoresist for optical and proton beam lithography

More information

Hiroshi Ito and Mark Sherwood. IBMAlmaden Research Center 650 Harry Road San Jose, CA 95120, U S. A ibm. com.

Hiroshi Ito and Mark Sherwood. IBMAlmaden Research Center 650 Harry Road San Jose, CA 95120, U S. A ibm. com. Journal of Photopolymer Science and Technology Volumel2,Number4(1999) 625-636 1999TAPJ Investigation of Deep UV Solvents, Chemistries, Resists by NMR: Residual and PAG Decomposition in Casting Film Hiroshi

More information

Screening of basic resist materials and PAGs for EUV-Lithography

Screening of basic resist materials and PAGs for EUV-Lithography Screening of basic resist materials and PAGs for EUV-Lithography 1. Int. EUVL-Symposium, Dallas, TX Klaus Lowack, Wolf-Dieter Domke, liver Kirch, Karl Kragler, Heinz-Ulrich Scheunemann* Infineon Technologies

More information

Can. J. Chem. Downloaded from by on 04/12/19. For personal use only.

Can. J. Chem. Downloaded from   by on 04/12/19. For personal use only. 818 CAN. J. CHEM. on the properties of the polymer materials and their response to the developers as well as the subsequent processing conditions. Present interest centers about the 1 km element size.

More information

NanoImprint Materials. March, IBM Almaden Research Center

NanoImprint Materials. March, IBM Almaden Research Center NanoImprint Materials Ratnam Sooriyakumaran Hiroshi Ito Mark Hart Frances Houle Geraud Dubois Robert Miller Robert Allen March, 2006 05/24/2006 Imprint in IBM IBM Research Division is conducting an exploratory

More information

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XVI, SPIE Vol. 3678, pp. 1-1011. It

More information

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

Methodology of modeling and simulating line-end shortening effects in deep-uv resist Methodology of modeling and simulating line-end shortening effects in deep-uv resist Mosong Cheng*, Ebo Croffie, Andrew Neureuther Electronics Research Laboratory Department of Electrical Engineering and

More information

Simulation and characterization of surface and line edge roughness in photoresists before and after etching

Simulation and characterization of surface and line edge roughness in photoresists before and after etching Simulation and characterization of surface and line edge roughness in photoresists before and after etching Motivation of this work : Sub 100nm lithographic features often suffer from roughness. Need to

More information

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Lithography for Semiconductor Manufacturing SPIE Vol. 3741, pp. 148-160. It is made

More information

Resist material for negative tone development process

Resist material for negative tone development process Resist material for negative tone development process FUJIFILM Corporation Electronic Materials Research Laboratories P-1 Outline 1. Advantages of negative tone imaging for DP 2. Process maturity of negative

More information

Is an EUV Film Quantum Yield of 30 Possible?

Is an EUV Film Quantum Yield of 30 Possible? Is an EUV Film Quantum Yield of 30 Possible? February 21, 2016 Resist TWG San Jose, CA Greg Denbeaux, Amrit Narasimhan, Steven Grzeskowiak, and Robert L. Brainard SUNY Polytechnic Institute Resist TWG

More information

Recent progress in nanoparticle photoresist development for EUV lithography

Recent progress in nanoparticle photoresist development for EUV lithography Recent progress in nanoparticle photoresist development for EUV lithography Kazuki Kasahara ab, Vasiliki Kosma b, Jeremy Odent b, Hong Xu b, Mufei Yu b, Emmanuel P. Giannelis b, Christopher K. Ober b a

More information

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography February 26, 2009 Shinji Tarutani FUJIFILM Corporation Research & Development Management Headquarters Electronic Materials Research

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XIV, SPIE Vol. 3049, pp. 706-711. It

More information

MS482 Materials Characterization ( 재료분석 ) Lecture Note 5: RBS

MS482 Materials Characterization ( 재료분석 ) Lecture Note 5: RBS 2016 Fall Semester MS482 Materials Characterization ( 재료분석 ) Lecture Note 5: RBS Byungha Shin Dept. of MSE, KAIST 1 Course Information Syllabus 1. Overview of various characterization techniques (1 lecture)

More information

A Study of Resolution Limits Due to Intrinsic Bias in Chemically Amplified Photoresists.

A Study of Resolution Limits Due to Intrinsic Bias in Chemically Amplified Photoresists. A Study of Resolution Limits Due to Intrinsic Bias in Chemically Amplified Photoresists. Sergei V. Postnikov, Michael D. Stewart, Hoang Vi Tran, Mark A. Nierode, David R. Medeiros, T. Cao, Jeffrey Byers,

More information

ECE Semiconductor Device and Material Characterization

ECE Semiconductor Device and Material Characterization ECE 4813 Semiconductor Device and Material Characterization Dr. Alan Doolittle School of Electrical and Computer Engineering Georgia Institute of Technology As with all of these lecture slides, I am indebted

More information

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking Improving resist resolution and sensitivity via electric-field enhanced postexposure baking Mosong Cheng, a) Lei Yuan, Ebo Croffie, and Andrew Neureuther Electronics Research Laboratory, University of

More information

Pattern Collapse. T h e L i t h o g r a p h y E x p e r t (November 2006) Chris A. Mack, Austin, Texas

Pattern Collapse. T h e L i t h o g r a p h y E x p e r t (November 2006) Chris A. Mack, Austin, Texas Tutor55.doc: Version 8/10/06 T h e L i t h o g r a p h y E x p e r t (November 2006) Pattern Collapse Chris A. Mack, Austin, Texas Not long ago, defining what an acceptable resist profile looked like was

More information

World-wide Standardization Effort on Leaching Measurement Methodology

World-wide Standardization Effort on Leaching Measurement Methodology World-wide Standardization Effort on Leaching Measurement Methodology Roel Gronheid 1, Christina Baerts 1, Stefan Caporale 2, Jim Alexander 2, Ben Rathsack 3, Steven Scheer 3, Katsumi Ohmori 4, Bryan Rice

More information

QsT/ Recently, chemically amplified EUV photoresists have been developed which

QsT/ Recently, chemically amplified EUV photoresists have been developed which , i -..,. Polvmer. Effects on Acid Generation Efficiency Using EUV and DUV Exposures - The mbmitkd amnuaaripihas b Paul Dentinger,* Robert L. Brainard,2 Joseph F. Mackevich,2 Jeffrey M. Guevremont? and

More information

A Parameter Extraction Framework for DUV Lithography Simulation

A Parameter Extraction Framework for DUV Lithography Simulation A Parameter Extraction Framework for DUV Lithography Simulation Nickhil Jakatdar 1, Junwei Bao, Costas J. Spanos Dept. of Electrical Engineering and Computer Sciences, University of California at Berkeley,

More information

DUV Positive Photoresists

DUV Positive Photoresists Journal of Photopolymer Science and Technology Volume 5, Number 1(1992) 207-216 Factors Affecting the Performance of Chemically DUV Positive Photoresists Amplified James W. Thackeray, Theodore H. Fedynyshyn,

More information

Surface analysis techniques

Surface analysis techniques Experimental methods in physics Surface analysis techniques 3. Ion probes Elemental and molecular analysis Jean-Marc Bonard Academic year 10-11 3. Elemental and molecular analysis 3.1.!Secondary ion mass

More information

Supercritical CO 2 Processing for Submicron Imaging of Fluoropolymers

Supercritical CO 2 Processing for Submicron Imaging of Fluoropolymers Chem. Mater. 2000, 12, 41-48 41 Supercritical CO 2 Processing for Submicron Imaging of Fluoropolymers Narayan Sundararajan, Shu Yang, Kenji Ogino, Suresh Valiyaveettil, Jianguo Wang, Xinyi Zhou, and Christopher

More information

A Hydrophilic/Hydrophobic Janus Inverse-Opal

A Hydrophilic/Hydrophobic Janus Inverse-Opal Supporting information A Hydrophilic/Hydrophobic Janus Inverse-Opal Actuator via Gradient Infiltration Dajie Zhang #, Jie Liu //#, Bo Chen *, Yong Zhao, Jingxia Wang * //, Tomiki Ikeda, Lei Jiang //. CAS

More information

TEPZZ 8 95 A_T EP A1 (19) (11) EP A1 (12) EUROPEAN PATENT APPLICATION. (43) Date of publication: Bulletin 2015/05

TEPZZ 8 95 A_T EP A1 (19) (11) EP A1 (12) EUROPEAN PATENT APPLICATION. (43) Date of publication: Bulletin 2015/05 (19) TEPZZ 8 9 A_T (11) EP 2 829 32 A1 (12) EUROPEAN PATENT APPLICATION (43) Date of publication: 28.01.1 Bulletin 1/0 (21) Application number: 1418013.1 (22) Date of filing:.03.08 (1) Int Cl.: C07C 67/08

More information

Enhanced Transmission by Periodic Hole. Arrays in Metal Films

Enhanced Transmission by Periodic Hole. Arrays in Metal Films Enhanced Transmission by Periodic Hole Arrays in Metal Films K. Milliman University of Florida July 30, 2008 Abstract Three different square periodic hole arrays were manufactured on a silver film in order

More information

Orthogonal Processing: A New Strategy for Patterning Organic Electronics

Orthogonal Processing: A New Strategy for Patterning Organic Electronics 1 rthogonal Processing: A New Strategy for Patterning rganic Electronics ERC Teleconference 3/September/2009 Jin-Kyun Lee and Christopher K. ber* Materials Science & Engineering Cornell University 2 rganic

More information

SCI. Scientific Computing International. Scientific Computing International. FilmTek. Raising Thin Film Metrology Performance to a New Level

SCI. Scientific Computing International. Scientific Computing International. FilmTek. Raising Thin Film Metrology Performance to a New Level FilmTek Raising Thin Film Metrology Performance to a New Level 1 Through Silicon Via (TSV) Metrology FilmTek TM TM TSV TSV Metrology Advantages Measure high aspect ratio TSV structures (up to 30:1) Measure

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

August 27, International ANSYS Conference Nick Reynolds, Ph.D. Director, Materials Pre-Sales, US, Accelrys

August 27, International ANSYS Conference Nick Reynolds, Ph.D. Director, Materials Pre-Sales, US, Accelrys Multiscale modeling and simulation developing solutions which link the atomistic, mesoscale, and engineering scales August 27, 2008 2008 International ANSYS Conference Nick Reynolds, Ph.D. Director, Materials

More information

Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography

Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography MCROU,!~'O~C ELSEVER Microelectronic Engineering 46 (1999) 173-177 Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography DUV Holographic L. A. Wang*, C. H. Lin and J. H. Chen nstitute

More information

X-Rays, Electrons and Lithography: Fundamental Processes in Molecular Radiation Chemistry

X-Rays, Electrons and Lithography: Fundamental Processes in Molecular Radiation Chemistry X-Rays, Electrons and Lithography: Fundamental Processes in Molecular Radiation Chemistry D. Frank Ogletree Molecular Foundry, Berkeley Lab Berkeley CA USA Our Berkeley Lab Team EUV Lithography and Pattern

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

The Configuration of the Atom: Rutherford s Model

The Configuration of the Atom: Rutherford s Model CHAPTR 2 The Configuration of the Atom: Rutherford s Model Problem 2.2. (a) When α particles with kinetic energy of 5.00 MeV are scattered at 90 by gold nuclei, what is the impact parameter? (b) If the

More information

Photoresists for Microlithography

Photoresists for Microlithography Photoresists for Microlithography 1. Role of Organic Chemistry in Microelectronics Debmalya Roy, P K Basu and S V Eswaran Photoresist technology, which is used for micro-patterning exploits changes in

More information

Various approximations for describing electrons in metals, starting with the simplest: E=0 jellium model = particle in a box

Various approximations for describing electrons in metals, starting with the simplest: E=0 jellium model = particle in a box ) Metallic Bond The outer electrons are weakly bound. They roam freely in the space between the atoms and thus are able to conduct electricity. They can be approximated by free electrons in a constant,

More information

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists Nickhil Jakatdar 1, Junwei Bao, Costas J. Spanos Dept. of Electrical Engineering and Computer Sciences, University

More information

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas 1 Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas Presentation November 14, 2005 Jane P. Chang and John Hoang Department of Chemical and Biomolecular Engineering

More information

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD Supplementary figure 1 Graphene Growth and Transfer Graphene PMMA FeCl 3 DI water Copper foil CVD growth Back side etch PMMA coating Copper etch in 0.25M FeCl 3 DI water rinse 1 st transfer DI water 1:10

More information

IN THE NAME OF ALLAH, THE MOST MERCIFUL AND COMPASSIONATE

IN THE NAME OF ALLAH, THE MOST MERCIFUL AND COMPASSIONATE IN THE NAME OF ALLAH, THE MOST MERCIFUL AND COMPASSIONATE Ion Beam Analysis of Diamond Thin Films Sobia Allah Rakha Experimental Physics Labs 04-03-2010 Outline Diamond Nanostructures Deposition of Diamond

More information

Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation

Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation G. P. Patsis, A. Tserepi, I. Raptis, N. Glezos, and E. Gogolides a) Institute of Microelectronics,

More information

Introduction to Electron Beam Lithography

Introduction to Electron Beam Lithography Introduction to Electron Beam Lithography Boštjan Berčič (bostjan.bercic@ijs.si), Jožef Štefan Institute, Jamova 39, 1000 Ljubljana, Slovenia 1. Introduction Electron Beam Lithography is a specialized

More information

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node Takahiro Kozawa and Seiichi Tagawa The Institute of Scientific and Industrial Research, Osaka University, 8-1

More information

Innovations in Supercritical Fluid Technology

Innovations in Supercritical Fluid Technology Innovations in Supercritical Fluid Technology Gina Weibel, Christopher K. ber Department of Materials Science and Engineering, Cornell University 158 Bard Hall, Cornell University, Ithaca NY 14850 Gleason

More information

Di-Stimuli Responsive Diblock and Triblock Copolymer Particles

Di-Stimuli Responsive Diblock and Triblock Copolymer Particles Di-Stimuli Responsive Diblock and Triblock Copolymer Particles Nancy Weber, John Texter *, and Klaus Tauer Max Planck Institute for Colloids and Interfaces Department of Colloid Chemistry 14476 Golm, Germany

More information

Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems

Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems Seiichi Tagawa The Institute of Scientific and Industrial

More information

produced a sputter rate of 0.9 nm/s for the radially profiled, un-etched wires. A slightly

produced a sputter rate of 0.9 nm/s for the radially profiled, un-etched wires. A slightly Supporting Information: Beam Current and Sputtering Rate: Using a 16 kev Cs + primary ion beam and a 1 µm 2 rastered area, a 10 pa beam current produced a sputter rate of 0.9 nm/s for the radially profiled,

More information

MS482 Materials Characterization ( 재료분석 ) Lecture Note 4: XRF

MS482 Materials Characterization ( 재료분석 ) Lecture Note 4: XRF 2016 Fall Semester MS482 Materials Characterization ( 재료분석 ) Lecture Note 4: XRF Byungha Shin Dept. of MSE, KAIST 1 Course Information Syllabus 1. Overview of various characterization techniques (1 lecture)

More information

Collen Z. Leng and Mark D. Losego School of Materials Science and Engineering, Georgia Institute of Technology, Atlanta, GA USA

Collen Z. Leng and Mark D. Losego School of Materials Science and Engineering, Georgia Institute of Technology, Atlanta, GA USA Electronic Supplementary Material (ESI) for Physical Chemistry Chemical Physics. This journal is the Owner Societies 2018 Supplementary Information A Physiochemical Processing Kinetics Model for the Vapor

More information

Lecture 8. Photoresists and Non-optical Lithography

Lecture 8. Photoresists and Non-optical Lithography Lecture 8 Photoresists and Non-optical Lithography Reading: Chapters 8 and 9 and notes derived from a HIGHLY recommended book by Chris Mack, Fundamental Principles of Optical Lithography. Any serious student

More information

Imaging Polymer Morphology Using Atomic Force Microscopy

Imaging Polymer Morphology Using Atomic Force Microscopy Imaging Polymer Morphology Using Atomic Force Microscopy Russell J. Composto Materials Science and Engineering, and the Laboratory for Research on the Structure of Matter, University of Pennsylvania Agilent

More information

Process-Simulation-Flow And Metrology of VLSI Layout Fine- Features

Process-Simulation-Flow And Metrology of VLSI Layout Fine- Features IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 7, Issue 6, Ver. I (Nov.-Dec. 2017), PP 23-28 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Process-Simulation-Flow And Metrology

More information

Lithography for Silicon-based and Flexible Electronics. Christopher K. Ober Materials Science & Engineering Cornell University

Lithography for Silicon-based and Flexible Electronics. Christopher K. Ober Materials Science & Engineering Cornell University Lithography for Silicon-based and Flexible Electronics Christopher K. Ober Materials Science & Engineering Cornell University cober@ccmr.cornell.edu Smaller is Better Moore s Law after 40 Years Now few

More information

Modeling of Environmentally Friendly Siloxane- Based Lithography Solvents

Modeling of Environmentally Friendly Siloxane- Based Lithography Solvents Modeling of Environmentally Friendly Siloxane- Based Lithography Solvents Gregory N. Toepperwein, Dan Rynearson, Juan J. de Pablo Christine Ouyang, Chris Ober 17 November 2011 1 Motivation Drive to reduce

More information

Radical Polymerization and Click Chemistry. Surfaces using Gamma Irradiation. Supporting Information*

Radical Polymerization and Click Chemistry. Surfaces using Gamma Irradiation. Supporting Information* Synthesis of Block Copolymers via Atom Transfer Radical Polymerization and Click Chemistry grafted from Pre-functionalized Polypropylene Surfaces using Gamma Irradiation Supporting Information* Ollie Foster,

More information

Lecture 22 Ion Beam Techniques

Lecture 22 Ion Beam Techniques Lecture 22 Ion Beam Techniques Schroder: Chapter 11.3 1/44 Announcements Homework 6/6: Will be online on later today. Due Wednesday June 6th at 10:00am. I will return it at the final exam (14 th June).

More information

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by. PROCEEDINGS OF SPIE Alternative Lithographic Technologies VII Douglas J. Resnick Christopher Bencher Editors 23-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by DNS

More information

Advances in 2D and 3D Patterning for Nanotechnology

Advances in 2D and 3D Patterning for Nanotechnology Advances in 2D and 3D Patterning for Nanotechnology Christopher K. ber, Young Cheol Bae 1, Tianyue Yu, Mingqi Li and Katsuji Douki 2 Cornell University, Materials Science & Engineering, 310 Bard Hall,

More information

DIFFUSION OF ACID AND ACTIVATION ENERGY OF POSITIVE CHEMICAL AMPLIFICATION RESIST

DIFFUSION OF ACID AND ACTIVATION ENERGY OF POSITIVE CHEMICAL AMPLIFICATION RESIST Journal of Photopolymer Science and Technology Volume 6, Number 4(1993) 505-514 1993TAPJ DIFFUSION OF ACID AND ACTIVATION ENERGY OF POSITIVE CHEMICAL AMPLIFICATION RESIST Koi 7ASAKAWA Toshiba Research

More information

MS482 Materials Characterization ( 재료분석 ) Lecture Note 12: Summary. Byungha Shin Dept. of MSE, KAIST

MS482 Materials Characterization ( 재료분석 ) Lecture Note 12: Summary. Byungha Shin Dept. of MSE, KAIST 2015 Fall Semester MS482 Materials Characterization ( 재료분석 ) Lecture Note 12: Summary Byungha Shin Dept. of MSE, KAIST 1 Course Information Syllabus 1. Overview of various characterization techniques (1

More information

Line Edge Roughness, part 2

Line Edge Roughness, part 2 Tutor57D.doc: Version 3/19/07 Line Edge Roughness, part T h e L i t h o g r a p h y E x p e r t (ay 007) In the last edition of this column [1], I began the difficult process of trying to understand the

More information

Application of polymers to photoresist materials

Application of polymers to photoresist materials Nippon Gomu Kyokaishi, No. 2, 2012, pp. 33 39 Application of polymers to photoresist materials A. Soyano Semiconductor Materials Laboratory, Fine Electronics Materials Research Laboratories, JSR Corporation,

More information

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Lithography for Semiconductor Manufacturing SPIE Vol. 4404, pp. 111-122. It is made

More information

P627/Chem 542 Surface/Interface Science Spring 2013 Rutherford Backscattering Lab: Answers to Questions

P627/Chem 542 Surface/Interface Science Spring 2013 Rutherford Backscattering Lab: Answers to Questions Intro Questions: 1) How is a tandem ion accelerator different from a so-called van der Graaf accelerator, and what are some of the advantages (and drawbacks) of the tandem design? A tandem ion accelerator

More information

Elastic Recoil Detection Method using DT Neutrons for Hydrogen Isotope Analysis in Fusion Materials. Abstract

Elastic Recoil Detection Method using DT Neutrons for Hydrogen Isotope Analysis in Fusion Materials. Abstract Elastic Recoil Detection Method using DT Neutrons for Hydrogen Isotope Analysis in Fusion Materials Naoyoshi Kubota, Kentaro Ochiai, Keitaro Kondo 2 and Takeo Nishitani. :Japan Atomic Energy Research Institute,

More information

RLS Trade-Off: Questions about Molecular Size and Quantum Yield

RLS Trade-Off: Questions about Molecular Size and Quantum Yield RLS Trade-Off: Questions about Molecular Size and Quantum Yield Robert Brainard and Craig Higgins Supported by SEMATECH and Rohm and Haas 10/2/08 1 Outline I. Introduction II. III. IV. Effect of Molecular

More information

EUV Resist-Fundamental Research

EUV Resist-Fundamental Research EUV Resist-Fundamental Research Akinori Saeki, Hiroki Yamamoto, Takahiro Kozawa, and Seiichi Tagawa The Institute of Scientific and Industrial Research, Osaka University CREST, Japan Science and Technology

More information

Single Layer Fluoropolymer Resists for 157 nm Lithography

Single Layer Fluoropolymer Resists for 157 nm Lithography Single Layer Fluoropolymer Resists for 157 nm Lithography M. K. Crawford *, W. B. Farnham, A. E. Feiring, J. Feldman, R. H. French, K. W. Leffew, V. A. Petrov, W. Qiu, F. L. Schadt III, H.V. Tran, R. C.

More information

Photonics applications 5: photoresists

Photonics applications 5: photoresists IMI-NFG s Mini Course on Chalcogenide Glasses Lecture 11 Photonics applications 5: photoresists Himanshu Jain Department of Materials Science & Engineering Lehigh University, Bethlehem, PA 18015 H.Jain@Lehigh.edu

More information

PHOTOCHEMICAL PHOTOPHYSICAL STUDIES ON CHEMICALLY AMPLIFIED RESISTS

PHOTOCHEMICAL PHOTOPHYSICAL STUDIES ON CHEMICALLY AMPLIFIED RESISTS Journal of Photopolymer Science and Technology Volume 5, Number 1(1992) 35-46 PHOTOCHEMICAL AND PHOTOPHYSICAL STUDIES ON CHEMICALLY AMPLIFIED RESISTS NIGEL P. HACKER, DONALD C. HOFER and KEVIN M. WELSH

More information

Single-ion BAB triblock copolymers as highly efficient electrolytes for lithium-metal batteries

Single-ion BAB triblock copolymers as highly efficient electrolytes for lithium-metal batteries Single-ion BAB triblock copolymers as highly efficient electrolytes for lithium-metal batteries Supplementary information Polymer characterization. The composition of the A-BCEs has been determined using

More information

Surface and Interface Characterization of Polymer Films

Surface and Interface Characterization of Polymer Films Surface and Interface Characterization of Polymer Films Jeff Shallenberger, Evans Analytical Group 104 Windsor Center Dr., East Windsor NJ Copyright 2013 Evans Analytical Group Outline Introduction to

More information

Robust shadow-mask evaporation via lithographically controlled undercut

Robust shadow-mask evaporation via lithographically controlled undercut Robust shadow-mask evaporation via lithographically controlled undercut B. Cord, a C. Dames, and K. K. Berggren Massachusetts Institute of Technology, Cambridge, Massachusetts 02139-4309 J. Aumentado National

More information