Simulation and characterization of surface and line edge roughness in photoresists before and after etching

Size: px
Start display at page:

Download "Simulation and characterization of surface and line edge roughness in photoresists before and after etching"

Transcription

1 Simulation and characterization of surface and line edge roughness in photoresists before and after etching Motivation of this work : Sub 100nm lithographic features often suffer from roughness. Need to understand its origins, characterize and reduce it. Purpose : Quantitative characterization of roughness Examine different roughness parameters and their interrelations and determine the best way of characterizing roughness. Dependence on material properties and process conditions (experimental study) Study of roughness dependence on material properties and process conditions. Simulation of roughness formation (prediction) Understand the Line edge and Surface roughness ( and ) formation and prediction with molecular simulations.

2 Origins of Surface and Line Edge Roughness ( and ). Materials Processes Material properties: Polymer, MW, MW distribution Si content Coating Exposure Energy PEB deprotection / crosslinking Exposure system characteristics ( ) (latent image formation) latent latent Wet development of top layer Silylation Wet development (isotropic) Dry development of bottom layer (Anisotropic) Option: breakthrough step Pattern Transfer (etching) BiLayer Dry Development (Anisotropic) Option: Breakthrough step Pattern transfer (etching) Silylated Pattern transfer (etching) Single layer

3 N 1/ s rms zi Zav N i 1 Characterization of roughness 1. Rms roughness easily calculated only gives the vertical magnitude of roughness depends on the scale of measurement 2. Fractal dimension D (calculated by the variation method) (B.Dubuc et al. Phys. Rev. A 39, 1500 (1989)) D=1.2 D=1.7 (for lines 1<D<2, for surfaces 2<D<3 ) needs careful implementation measures the spatial complexity of roughness.3. Scaling behavior of rms in an experimental surface Scaling hypothesis : where Rms(L) is an average over many samples, L cor is the correlation length and the scaling exponent 0<a<1, D=2-a Take care of the correlation length Lcor : The estimation of the Rms is reliable if and only i the sample size L is larger than the correlation length Lcor (L>Lcor).

4 4. Frequency spectrum (FFT analysis) 0,1 amplitude 0,01 1E-3 related to rms slope = fractal dimension D Power law in FFT reveals self-similar structure. Fractal analysis is possible 1E-4 0,01 0,1 spatial frequency (nm -1 ) High frequency FFT behavior gives the fractal dimension BUT needs more data points than variation method Low frequency FFT amplitude is related to rms BUT only qualitatively.

5 Negative tone epoxy resist (wet development) Negative tone siloxane resist (oxygen development in HDP reactor) Rms (nm) PAG 1%, PAB 110 o C Dose (µc/cm 2 ) 2.45 Fractal dimension D At high doses rms increases and D decreases Effect of exposure dose and bias voltage during BTS Rms (nm) no bias at BTS bias 100 V at BTS Dose (µc/cm 2 ) No bias voltage rms curve drops more quickly Fractal dimension D Opposite behavior of rms and D vs exposure dose

6 Gel Formation Modeling in a Negative Tone CAR CH3 O [ ] H2C CH2 CH O CH2 Polymer chain with 5 monomers Lattice Model of EPR (EPoxy Resist) Crosslinked Monomer Initiated Sites Crosslink Free Volume Gel Formation (Molecular Modeling) Polymer chains and initiator molecules in lattice Initiation -Acid Diffusion - Cross-linking - Clustering Graph and Percolation theory Part of the same chain Periodic Boundary Conditions G.P.Patsis and N.Glezos, Molecular Dynamics Simulation of Gel Formation and Acid Diffusion in Negative Tone Chemically Amplified Resists, Microelec. Engin. 46, 359 (1999).

7 Line Edge Roughness Modeling after development 0.1µm 0.1µm 0.25µm C=10% in initiator RMS Roughness N 1/ s rms zi Zav N i 1 Line Edge Roughness Before and after exposure and after development Top and side line roughness Dependence upon polymerization length, acid diffusion length and initiator concentration Simulation of Surface and Line-Edge Roughness formation in Resists, G.P. Patsis, E. Gogolides, Microelectronic Engineering, (2001), 563-9

8 Rms Roughness (nm) Rms Comparison of simulation with experimental results after development 5%PAG 1%PAG Exp. data 1% PAG from crosslinks 1%PAG Dose (µc/cm ) (for of negative tone epoxy resist) Fractal dimension D 2,6 2,5 2,4 2,3 2,2 Fractal dimension D 1% PAG simulator (after crosslinks formation) experimental simulator (after development) 2,1 0,5 1,0 1,5 2,0 2,5 3,0 3,5 4,0 Dose (µc/cm 2 ) Qualitative agreement between simulation and experimental data Simulator reproduces the opposite behavior of rms and D vs dose

9 What more about D 1. Fractal dimension D and correlation length Lcor* Positive tone resist surfaces 2. Error in Rms measurements (SD) versus fractal dimension D Negative tone resist surfaces (EPR) D and Lcor are not independent quantities. For the surfaces we studied: as D increases Lcor decreases (despite the fluctuations, due probably to the statistics). Negative tone resist surfaces give similar results. Similar results from positive resists. Surfaces with low D show large Rms error (SD). Hence, more samples need to be measured.

10 Conclusions 1. Important for roughness characterization : a) Rms (vertical roughness) b) Fractal dimension D (spatial roughness) FFT gives both Rms and D but needs a lot of data points to be reliable. 2 Rms measurements require sample sizes larger than the correlation length Lcor. 3. Correlation length depends on the dose for both positive and negative tone resists as well as the PAG content. 4. Theoretically, D, Rms and Lcor are independent quantities. But, for the positive and negative resists we studied : a. D and Rms exhibit opposite behavior. b. The same is true for D and Lcor. 5. As Lcor increases (i.e. D decreases) more samples are needed for accurate Rms measurement. 6. Simulation methodology exists, and compares well with experimental trends. 7. Simulation needs to be applied for positive tone resists, with aqueous base development

11 Collaborative Work Needed in before and after etching 1) Create SEM image analysis and detailed evaluation software. SEM images needed, and analysis from metrology instruments for comparison and standardisation. Find the most important parameters for characterization. 2) Have for a couple of resists SEM pictures for series of different conditions (aerial image, process, etc) in order to evaluate process and tool effects on. (193 and / or 157). Have SEM pictures also after etc. 3) Couple 2 above with detailed information on chemistry of resist for simulation of formation and development. 4) What about sidewall surface roughness AFM Analysis of AFM files with our methodology and software possible. 5) after etching, and Ultra Thin resist film resistance. 6) Input needed on standard etch recipes for various resist schemes. (plasma chemistry, steps, duration). 7) Where is etch resistance of UTR resists most needed How does it affect Where should the UTR etching analysis focus

Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation

Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation G. P. Patsis, A. Tserepi, I. Raptis, N. Glezos, and E. Gogolides a) Institute of Microelectronics,

More information

Roughness characterization in positive and negative resists

Roughness characterization in positive and negative resists Microelectronic Engineering 61 6 (00) 793 801 www.elsevier.com/ locate/ mee Roughness characterization in positive and negative resists * Constantinos D. Diakoumakos, Evangelos S. Valamontes a,b a, a Vassilios

More information

Effects of photoresist polymer molecular weight on line-edge roughness and its metrology probed with Monte Carlo simulations

Effects of photoresist polymer molecular weight on line-edge roughness and its metrology probed with Monte Carlo simulations Microelectronic Engineering xxx (2004) xxx xxx www.elsevier.com/locate/mee Effects of photoresist polymer molecular weight on line-edge roughness and its metrology probed with Monte Carlo simulations G.P.

More information

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media.

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media. originates from the limited number of options regarding orthogonal solvents, i.e. solvents that do not dissolve or adversely damage a pre-deposited organic materials layer. The simplest strategy to achieve

More information

Development of Lift-off Photoresists with Unique Bottom Profile

Development of Lift-off Photoresists with Unique Bottom Profile Transactions of The Japan Institute of Electronics Packaging Vol. 8, No. 1, 2015 [Technical Paper] Development of Lift-off Photoresists with Unique Bottom Profile Hirokazu Ito, Kouichi Hasegawa, Tomohiro

More information

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 Bob O'Handley Martin Schmidt Quiz Nov. 17, 2004 Ion implantation, diffusion [15] 1. a) Two identical p-type Si wafers (N a = 10 17 cm

More information

Process-Simulation-Flow And Metrology of VLSI Layout Fine- Features

Process-Simulation-Flow And Metrology of VLSI Layout Fine- Features IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 7, Issue 6, Ver. I (Nov.-Dec. 2017), PP 23-28 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Process-Simulation-Flow And Metrology

More information

EUV Resist-Fundamental Research

EUV Resist-Fundamental Research EUV Resist-Fundamental Research Akinori Saeki, Hiroki Yamamoto, Takahiro Kozawa, and Seiichi Tagawa The Institute of Scientific and Industrial Research, Osaka University CREST, Japan Science and Technology

More information

A. Optimizing the growth conditions of large-scale graphene films

A. Optimizing the growth conditions of large-scale graphene films 1 A. Optimizing the growth conditions of large-scale graphene films Figure S1. Optical microscope images of graphene films transferred on 300 nm SiO 2 /Si substrates. a, Images of the graphene films grown

More information

More on Stochastics and the Phenomenon of Line-Edge Roughness

More on Stochastics and the Phenomenon of Line-Edge Roughness More on Stochastics and the Phenomenon of Line-Edge Roughness Chris A. Mack 34 th International Photopolymer Science and Technology Conference Chiba, Japan, June 28, Conclusions We need more than just

More information

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists Nickhil Jakatdar 1, Junwei Bao, Costas J. Spanos Dept. of Electrical Engineering and Computer Sciences, University

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

Introduction. Photoresist : Type: Structure:

Introduction. Photoresist : Type: Structure: Photoresist SEM images of the morphologies of meso structures and nanopatterns on (a) a positively nanopatterned silicon mold, and (b) a negatively nanopatterned silicon mold. Introduction Photoresist

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

Electron Beam Curable Varnishes. Rapid Processing of Planarization Layers on Polymer Webs

Electron Beam Curable Varnishes. Rapid Processing of Planarization Layers on Polymer Webs Electron Beam Curable Varnishes Rapid Processing of Planarization Layers on Polymer Webs Juliane Fichtner, Michaela Hagenkamp, Markus Noss, Steffen Günther Fraunhofer Institute for Organic Electronics,

More information

A Parameter Extraction Framework for DUV Lithography Simulation

A Parameter Extraction Framework for DUV Lithography Simulation A Parameter Extraction Framework for DUV Lithography Simulation Nickhil Jakatdar 1, Junwei Bao, Costas J. Spanos Dept. of Electrical Engineering and Computer Sciences, University of California at Berkeley,

More information

Polymer Matrix Effects on EUV Acid Generation

Polymer Matrix Effects on EUV Acid Generation Polymer Matrix Effects on EUV Acid Generation Theodore H. Fedynyshyn, Russell B. Goodman, and Jeanette Roberts # Lincoln Laboratory Massachusetts Institute of Technology # Intel Corporation The Lincoln

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

Etching behavior of Si-containing polymers as resist materials for bilayer lithography: The case of poly-dimethyl siloxane

Etching behavior of Si-containing polymers as resist materials for bilayer lithography: The case of poly-dimethyl siloxane Etching behavior of Si-containing polymers as resist materials for bilayer lithography: The case of poly-dimethyl siloxane A. Tserepi, a) G. Cordoyiannis, G. P. Patsis, V. Constantoudis, and E. Gogolides

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation

Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation Microelectronic Engineering 73 74 (2004) 312 318 www.elsevier.com/locate/mee Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation E. Gogolides *, C. Boukouras, G. Kokkoris,

More information

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Title Spatial scaling metrics of mask-induced induced line-edge roughness Permalink https://escholarship.org/uc/item/5rc666c3

More information

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes Fabrication of the scanning thermal microscopy (SThM) probes is summarized in Supplementary Fig. 1 and proceeds

More information

PLASMA-POLYMER MODIFICATION OF BASAL PLANE GRAPHITE SURFACES FOR IMPROVED BIOCOMPATIBILITY

PLASMA-POLYMER MODIFICATION OF BASAL PLANE GRAPHITE SURFACES FOR IMPROVED BIOCOMPATIBILITY PLASMA-POLYMER MODIFICATION OF BASAL PLANE GRAPHITE SURFACES FOR IMPROVED BIOCOMPATIBILITY Anca Orăşanu, Marcus R. Davidson, Robert H. Bradley Advanced Materials & Biomaterials Research Centre, School

More information

Chapter 2 Chemistry of High-Energy Charged Particles: Radiations and Polymers

Chapter 2 Chemistry of High-Energy Charged Particles: Radiations and Polymers Chapter 2 Chemistry of High-Energy Charged Particles: Radiations and Polymers The combination of polymers and the high-energy charged particles with sufficiently high LET is the promising candidate for

More information

Optimizing RLS. Seiichi Tagawa. The Institute of Scientific and Industrial Research Osaka University and JST-CREST

Optimizing RLS. Seiichi Tagawa. The Institute of Scientific and Industrial Research Osaka University and JST-CREST Optimizing RLS Seiichi Tagawa The Institute of Scientific and Industrial Research Osaka University and JST-CREST IEUVI Resist TWG October 2, 2008 Acknowledgement Prof. Takahiro Kozawa Dr. Akinori Kozawa

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

Methodology of modeling and simulating line-end shortening effects in deep-uv resist Methodology of modeling and simulating line-end shortening effects in deep-uv resist Mosong Cheng*, Ebo Croffie, Andrew Neureuther Electronics Research Laboratory Department of Electrical Engineering and

More information

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey.

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE 143 Professor Ali Javey Spring 2009 Exam 2 Name: SID: Closed book. One sheet of notes is allowed.

More information

Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography

Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography MCROU,!~'O~C ELSEVER Microelectronic Engineering 46 (1999) 173-177 Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography DUV Holographic L. A. Wang*, C. H. Lin and J. H. Chen nstitute

More information

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node Takahiro Kozawa and Seiichi Tagawa The Institute of Scientific and Industrial Research, Osaka University, 8-1

More information

The Effect of Electrostatic Surface Charges on Photoresist Dissolution

The Effect of Electrostatic Surface Charges on Photoresist Dissolution Sean Burns 4/24/00 Dr. Bonnecaze ChE 385M The Effect of Electrostatic Surface Charges on Photoresist Dissolution Introduction/Motivation It would be very useful and economical to have a fundamental model

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

ECE611 / CHE611: Electronic Materials Processing Fall 2017 John Labram Solutions to Homework 2 Due at the beginning of class Thursday October 19 th

ECE611 / CHE611: Electronic Materials Processing Fall 2017 John Labram Solutions to Homework 2 Due at the beginning of class Thursday October 19 th ECE611 / CHE611: Electronic Materials Processing Fall 017 John Labram Solutions to Homework Due at the beginning of class Thursday October 19 th Question 1 [3 marks]: a) Piranha solution consists of a

More information

Spatial distribution of reaction products in positive tone chemically amplified resists

Spatial distribution of reaction products in positive tone chemically amplified resists Spatial distribution of reaction products in positive tone chemically amplified resists Gerard M. Schmid and Michael D. Stewart Department of Chemical Engineering, The University of Texas at Austin, Austin,

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Chenming Hu.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Chenming Hu. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 130 Spring 2009 Professor Chenming Hu Midterm I Name: Closed book. One sheet of notes is

More information

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XVIII, SPIE Vol. 4345, pp. 1013-1021.

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

Resist material for negative tone development process

Resist material for negative tone development process Resist material for negative tone development process FUJIFILM Corporation Electronic Materials Research Laboratories P-1 Outline 1. Advantages of negative tone imaging for DP 2. Process maturity of negative

More information

Photolithography 光刻 Part II: Photoresists

Photolithography 光刻 Part II: Photoresists 微纳光电子材料与器件工艺原理 Photolithography 光刻 Part II: Photoresists Xing Sheng 盛兴 Department of Electronic Engineering Tsinghua University xingsheng@tsinghua.edu.cn 1 Photolithography 光刻胶 负胶 正胶 4 Photolithography

More information

Innovative. Technologies. Chemie des Klebens Chemistry of Adhesives. Dr. Jochen Stock, Laboratory Manager CRL Germany: Neuss, November 27 th, 2013

Innovative. Technologies. Chemie des Klebens Chemistry of Adhesives. Dr. Jochen Stock, Laboratory Manager CRL Germany: Neuss, November 27 th, 2013 Chemie des Klebens Chemistry of Adhesives Dr. Jochen Stock, Laboratory Manager CRL Germany: Neuss, November 27 th, 2013 Innovative Technologies 1 Overview Chemie des Klebens Chemistry of Adhesives Introduction

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XIV, SPIE Vol. 3049, pp. 706-711. It

More information

Supplementary information for

Supplementary information for Supplementary information for Transverse electric field dragging of DNA in a nanochannel Makusu Tsutsui, Yuhui He, Masayuki Furuhashi, Rahong Sakon, Masateru Taniguchi & Tomoji Kawai The Supplementary

More information

Understanding electron energy loss mechanisms in EUV resists using EELS and first-principles calculations

Understanding electron energy loss mechanisms in EUV resists using EELS and first-principles calculations Understanding electron energy loss mechanisms in EUV resists using EELS and first-principles calculations Robert Bartynski Sylvie Rangan Department of Physics & Astronomy and Laboratory for Surface Modification

More information

Current Status of Inorganic Nanoparticle Photoresists

Current Status of Inorganic Nanoparticle Photoresists Prof. Christopher K. ber Department of Materials Science and Engineering, Cornell University, Ithaca NY Current Status of Inorganic Nanoparticle Photoresists Markos Trikeriotis, Marie Krysak, Yeon Sook

More information

UV2Litho Usable Vacuum Ultra Violet Lithography

UV2Litho Usable Vacuum Ultra Violet Lithography UV2Litho Usable Vacuum Ultra Violet Lithography A.M. Goethals, R. Jonckheere, F. Van Roey, Jan Hermans, A. Eliat, K. Ronse (IMEC) P. Wong (ASML) P. Zandbergen (Philips) M. Vasconi, E. Severgnini (STMicroelectronics

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

Sensors and Metrology. Outline

Sensors and Metrology. Outline Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

Flexible nonvolatile polymer memory array on

Flexible nonvolatile polymer memory array on Supporting Information for Flexible nonvolatile polymer memory array on plastic substrate via initiated chemical vapor deposition Byung Chul Jang, #a Hyejeong Seong, #b Sung Kyu Kim, c Jong Yun Kim, a

More information

Enhanced Chamber Management and Fault Detection in Plasma Etch Processes via SEERS(Self Excited Electron Resonance Spectroscopy)

Enhanced Chamber Management and Fault Detection in Plasma Etch Processes via SEERS(Self Excited Electron Resonance Spectroscopy) Enhanced Chamber Management and Fault Detection in Plasma Etch Processes via SEERS(Self Excited Electron Resonance Spectroscopy) *Kye Hyun Baek, Gopyo Lee, Yong Woo Lee, Gyung-Jin Min, Changjin Kang, Han-Ku

More information

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

Trends in plasma applications

Trends in plasma applications 3 International Conference on Frontiers of Plasma Physics and Technology Trends in plasma applications R. Barni Centro PlasmaPrometeo Bangkok 5 March 27 Plasma processing Trends towards atmospheric pressure:

More information

Effect of PAG Location on Resists for Next Generation Lithographies

Effect of PAG Location on Resists for Next Generation Lithographies Effect of PAG Location on Resists for Next Generation Lithographies ber Research Group Materials Science & Engineering Ithaca, NY 14853 Development Trends in Microlithography 10 Contact Printer Architectures

More information

Tutorial on Plasma Polymerization Deposition of Functionalized Films

Tutorial on Plasma Polymerization Deposition of Functionalized Films Tutorial on Plasma Polymerization Deposition of Functionalized Films A. Michelmore, D.A. Steele, J.D. Whittle, J.W. Bradley, R.D. Short University of South Australia Based upon review article RSC Advances,

More information

FRACTAL CONCEPT S IN SURFACE GROWT H

FRACTAL CONCEPT S IN SURFACE GROWT H FRACTAL CONCEPT S IN SURFACE GROWT H Albert-Läszlö Barabäs i H. Eugene Stanley Preface Notation guide x v xi x PART 1 Introduction 1 1 Interfaces in nature 1 1.1 Interface motion in disordered media 3

More information

Transient Electro-Optic Properties of Liquid Crystal Gels

Transient Electro-Optic Properties of Liquid Crystal Gels 137 Appendix A Transient Electro-Optic Properties of Liquid Crystal Gels The dynamics of the electro-optic response has significance for the use of our gels in display devices and also reveals important

More information

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD Supplementary figure 1 Graphene Growth and Transfer Graphene PMMA FeCl 3 DI water Copper foil CVD growth Back side etch PMMA coating Copper etch in 0.25M FeCl 3 DI water rinse 1 st transfer DI water 1:10

More information

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Manish Chandhok, a Heidi Cao, a Wang Yueh, a Eric Gullikson, b Robert Brainard, c Stewart Robertson c a Intel Corporation,

More information

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists INTERFACE '95 This paper was published in the proceedings of the OCG Microlithography Seminar, Interface '95, pp. 217-228. It is made available as an electronic reprint with permission of OCG Microelectronic

More information

Advances in Step and Flash Imprint Lithography

Advances in Step and Flash Imprint Lithography Advances in Step and Flash Imprint Lithography S.C. Johnson, T.C. Bailey, M.D. Dickey, B.J. Smith, E.K. Kim, A.T. Jamieson, N.A. Stacey, J.G. Ekerdt, C.G. Willson Texas Materials Institute University of

More information

EE-612: Lecture 22: CMOS Process Steps

EE-612: Lecture 22: CMOS Process Steps EE-612: Lecture 22: CMOS Process Steps Mark Lundstrom Electrical and Computer Engineering Purdue University West Lafayette, IN USA Fall 2006 NCN www.nanohub.org Lundstrom EE-612 F06 1 outline 1) Unit Process

More information

Particle Generation during Photoresist Dissolution

Particle Generation during Photoresist Dissolution Particle Generation during Photoresist Dissolution Siddharth Chauhan a, Mark Somervell b, Michael Carcasi b, Steven Scheer b, Roger T. Bonnecaze a, Chris Mack c and C. Grant Willson a a Department of Chemical

More information

Photoresist Profile. Undercut: negative slope, common for negative resist; oxygen diffusion prohibits cross-linking; good for lift-off.

Photoresist Profile. Undercut: negative slope, common for negative resist; oxygen diffusion prohibits cross-linking; good for lift-off. Photoresist Profile 4-15 Undercut: negative slope, common for negative resist; oxygen diffusion prohibits cross-linking; good for lift-off undercut overcut Overcut: positive slope, common to positive resist,

More information

DUV Positive Photoresists

DUV Positive Photoresists Journal of Photopolymer Science and Technology Volume 5, Number 1(1992) 207-216 Factors Affecting the Performance of Chemically DUV Positive Photoresists Amplified James W. Thackeray, Theodore H. Fedynyshyn,

More information

IC Fabrication Technology

IC Fabrication Technology IC Fabrication Technology * History: 1958-59: J. Kilby, Texas Instruments and R. Noyce, Fairchild * Key Idea: batch fabrication of electronic circuits n entire circuit, say 10 7 transistors and 5 levels

More information

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76 Lecture 15 Etching Chapters 15 & 16 Wolf and Tauber 1/76 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes 25% of course

More information

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking Improving resist resolution and sensitivity via electric-field enhanced postexposure baking Mosong Cheng, a) Lei Yuan, Ebo Croffie, and Andrew Neureuther Electronics Research Laboratory, University of

More information

Disordered Structures. Part 2

Disordered Structures. Part 2 Disordered Structures Part 2 Composites and mixtures Consider inhomogeneities on length scales > 10-20 Å Phase separation two (or multi-) phase mixtures Mixtures of particles of different kinds - solids,

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 5 MICRO AND NANOPROCESSING TECHNOLOGIES Introduction Ion lithography X-ray lithography Soft lithography E-beam lithography Concepts and processes Lithography systems Masks and resists Chapt.9.

More information

FEM Modeling of Shrinkage Effects in Negative Tone Photoresists

FEM Modeling of Shrinkage Effects in Negative Tone Photoresists FEM Modeling of Shrinkage Effects in Negative Tone Photoresists Master s Thesis/Masterarbeit in the field of Computational Engineering by Sean Dominic D Silva Department Informatik Lehrstuhl für Informatik

More information

Stochastic modeling of photoresist development in two and three dimensions

Stochastic modeling of photoresist development in two and three dimensions J. Micro/Nanolith. MEMS MOEMS 9(4), 04202 (Oct Dec 200) Stochastic modeling of photoresist development in two and three dimensions Chris A. Mack 605 Watchhill Road Austin, Texas 78703 E-mail: chris@lithoguru.com

More information

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Photolithography Overview  9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview http://www.intel.com/research/silicon/mooreslaw.htm 1 Moore s law only holds due to photolithography advancements in reducing linewidths 2 All processing to create electric components and circuits rely

More information

Surface roughness development during photoresist dissolution

Surface roughness development during photoresist dissolution Surface roughness development during photoresist dissolution Lewis W. Flanagin, a) Vivek K. Singh, b) and C. Grant Willson c) Department of Chemical Engineering, The University of Texas at Austin, Austin,

More information

Engineering aspect of emulsion polymerization

Engineering aspect of emulsion polymerization Engineering aspect of emulsion polymerization Joong-In Kim Bayer Corp., Plastics, Technology Yonsei University Contents Free radical polymerization kinetics Emulsion polymerization Reactor configuration

More information

Introduction to Photolithography

Introduction to Photolithography http://www.ichaus.de/news/72 Introduction to Photolithography Photolithography The following slides present an outline of the process by which integrated circuits are made, of which photolithography is

More information

Top down and bottom up fabrication

Top down and bottom up fabrication Lecture 24 Top down and bottom up fabrication Lithography ( lithos stone / graphein to write) City of words lithograph h (Vito Acconci, 1999) 1930 s lithography press Photolithography d 2( NA) NA=numerical

More information

Recent progress in nanoparticle photoresist development for EUV lithography

Recent progress in nanoparticle photoresist development for EUV lithography Recent progress in nanoparticle photoresist development for EUV lithography Kazuki Kasahara ab, Vasiliki Kosma b, Jeremy Odent b, Hong Xu b, Mufei Yu b, Emmanuel P. Giannelis b, Christopher K. Ober b a

More information

Dynasylan SIVO 110. Description. Product Information. SIVO SOL Technology for coating systems

Dynasylan SIVO 110. Description. Product Information. SIVO SOL Technology for coating systems Dynasylan SIVO 110 +49-69-218-5656 SIVO SOL Technology for coating systems Description Dynasylan SIVO 110 resembles a multifunctional, basically VOC-free, water-borne sol-gel system. It is composed of

More information

Electron-beam SAFIER process and its application for magnetic thin-film heads

Electron-beam SAFIER process and its application for magnetic thin-film heads Electron-beam SAFIER process and its application for magnetic thin-film heads XiaoMin Yang, a) Harold Gentile, Andrew Eckert, and Stanko R. Brankovic Seagate Research Center, 1251 Waterfront Place, Pittsburgh,

More information

In-situ Monitoring of Thin-Film Formation Processes by Spectroscopic Ellipsometry

In-situ Monitoring of Thin-Film Formation Processes by Spectroscopic Ellipsometry In-situ Monitoring of Thin-Film Formation Processes by Spectroscopic Ellipsometry Alexey Kovalgin Chair of Semiconductor Components MESA+ Institute for Nanotechnology Motivation Advantages of in-situ over

More information

ZEP520 ZEP520. Technical Report. ZEON CORPORATION Specialty Materials Division. High Resolution Positive Electron Beam Resist.

ZEP520 ZEP520. Technical Report. ZEON CORPORATION Specialty Materials Division. High Resolution Positive Electron Beam Resist. Technical Report ZEP52 ZEP52 Ver.1.2 Mar.21 ZEONREX Electronic Chemicals High Resolution Positive Electron Beam Resist ZEP52 ZEON CORPORATION Specialty Materials Division Headquarters R&D Center Furukawa

More information

Carrier Transport by Diffusion

Carrier Transport by Diffusion Carrier Transport by Diffusion Holes diffuse ÒdownÓ the concentration gradient and carry a positive charge --> hole diffusion current has the opposite sign to the gradient in hole concentration dp/dx p(x)

More information

Screening of basic resist materials and PAGs for EUV-Lithography

Screening of basic resist materials and PAGs for EUV-Lithography Screening of basic resist materials and PAGs for EUV-Lithography 1. Int. EUVL-Symposium, Dallas, TX Klaus Lowack, Wolf-Dieter Domke, liver Kirch, Karl Kragler, Heinz-Ulrich Scheunemann* Infineon Technologies

More information

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF)

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Journal of the Korean Physical Society, Vol. 33, No. 5, November 1998, pp. 579 583 Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Baikil Choi and Hyeongtag Jeon School

More information

Carbon Nanotube Thin-Films & Nanoparticle Assembly

Carbon Nanotube Thin-Films & Nanoparticle Assembly Nanodevices using Nanomaterials : Carbon Nanotube Thin-Films & Nanoparticle Assembly Seung-Beck Lee Division of Electronics and Computer Engineering & Department of Nanotechnology, Hanyang University,

More information

Supplementary Figure 1 Experimental setup for crystal growth. Schematic drawing of the experimental setup for C 8 -BTBT crystal growth.

Supplementary Figure 1 Experimental setup for crystal growth. Schematic drawing of the experimental setup for C 8 -BTBT crystal growth. Supplementary Figure 1 Experimental setup for crystal growth. Schematic drawing of the experimental setup for C 8 -BTBT crystal growth. Supplementary Figure 2 AFM study of the C 8 -BTBT crystal growth

More information

Photoresist etch resistance enhancement using novel polycarbocyclic derivatives as additives

Photoresist etch resistance enhancement using novel polycarbocyclic derivatives as additives Photoresist etch resistance enhancement using novel polycarbocyclic derivatives as additives Evangelos Gogolides and Panagiotis Argitis Institute of Microelectronics, NCSR Demokritos, Aghia Paraskevi 15310,

More information

Across-wafer CD Uniformity Enhancement through Control of Multi-zone PEB Profiles

Across-wafer CD Uniformity Enhancement through Control of Multi-zone PEB Profiles Across-wafer CD Uniformity Enhancement through Control of Multi-zone PEB Profiles Qiaolin Zhang *a, Paul Friedberg b, Cherry Tang c Bhanwar Singh c, Kameshwar Poolla a, Costas J. Spanos b a Dept of Mechanical

More information

Citation Bram Lips, Robert Puers, (2016), Three step deep reactive ion etch for high density trench etching Journal of Physics: Conference Series, 757, 012005. Archived version Author manuscript: the content

More information

Oxidation of hydrogenated crystalline silicon as an alternative approach for ultrathin SiO 2 growth

Oxidation of hydrogenated crystalline silicon as an alternative approach for ultrathin SiO 2 growth Institute of Physics Publishing Journal of Physics: Conference Series 10 (2005) 246 250 doi:10.1088/1742-6596/10/1/061 Second Conference on Microelectronics, Microsystems and Nanotechnology Oxidation of

More information

Polymers. Steep Slope = 3/5 : Self-Avoiding Walk (Polymer Solution) Shallow Slope = 1/2 : Gaussian Random Walk (Polymer Melt)

Polymers. Steep Slope = 3/5 : Self-Avoiding Walk (Polymer Solution) Shallow Slope = 1/2 : Gaussian Random Walk (Polymer Melt) Polymers 1 Polymers Steep Slope = 3/5 : Self-Avoiding Walk (Polymer Solution) Shallow Slope = 1/2 : Gaussian Random Walk (Polymer Melt) 2 If we consider a series of chains = 0 Except when i = j, and

More information

Make sure the exam paper has 9 pages (including cover page) + 3 pages of data for reference

Make sure the exam paper has 9 pages (including cover page) + 3 pages of data for reference UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Spring 2006 EE143 Midterm Exam #1 Family Name First name SID Signature Make sure the exam paper

More information

PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS

PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS O. Goossens, D. Vangeneugden, S. Paulussen and E. Dekempeneer VITO Flemish Institute for Technological Research, Boeretang

More information

Line Edge Roughness, part 2

Line Edge Roughness, part 2 Tutor57D.doc: Version 3/19/07 Line Edge Roughness, part T h e L i t h o g r a p h y E x p e r t (ay 007) In the last edition of this column [1], I began the difficult process of trying to understand the

More information

Highly corrosion resistant organic-inorganic hybrid coatings prepared using the sol-gel process

Highly corrosion resistant organic-inorganic hybrid coatings prepared using the sol-gel process SÃO PAULO STATE UNIVERSITY- UNESP INSTITUTE OF CHEMISTRY - ARARAQUARA, BRAZIL Highly corrosion resistant organic-inorganic hybrid coatings prepared using the sol-gel process P. Hammer*, F. C. dos Santos,

More information

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis Dr. E. A. Leone BACKGRUND ne trend in the electronic packaging industry

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems

Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems Seiichi Tagawa The Institute of Scientific and Industrial

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information