Current Status of Inorganic Nanoparticle Photoresists

Size: px
Start display at page:

Download "Current Status of Inorganic Nanoparticle Photoresists"

Transcription

1 Prof. Christopher K. ber Department of Materials Science and Engineering, Cornell University, Ithaca NY Current Status of Inorganic Nanoparticle Photoresists Markos Trikeriotis, Marie Krysak, Yeon Sook Chung, Christine uyang, Emmanuel Giannelis (Cornell) Brian Cardineau, Robert Brainard (CNSE, Albany) CRNELL Mark Neisser, Kyoungyong Cho (Sematech)

2 Presentation verview Introduction to inorganic photoresists Lithographic performance highlights: EUV patterning Etching and pattern transfer Versatile resist platform: Dual-tone capability Alternative formulations EUV absorbance optimization CRNELL

3 Inorganic Photoresist Platform Why Inorganic Photoresist? High resolution: High aspect ratio Pattern collapse X Thinner film: Improved patterning ü Poor pattern transfer X High etch-resistance photoresist: Improved pattern transfer ü Advantages: Nanoparticles are soluble in PGMEA and other common coating solvents. Choice of the organic ligands can alter the photoresist chemistry: both positive tone and negative tone patterning. EUV absorbance, sensitivity and etch resistance can be fine-tuned by changing the combination and ratios of metal oxide, organic ligand and photoactive compound CRNELL

4 Resist Formulation Spin coating solvent: PGMEA HfMAA or ZrMAA: 5-10% w/v propylene glycol monomethyl ether acetate Photoactive compound: 1-10% w/w relative to nanoparticle mass Me Me Photoinitiator or PAG dimethoxy phenyl acetophenone CRNELL

5 Absorption optimization Film absorption depends on atomic composition and density µ = N A ρ MW i x iσ α i rganic/inorganic hybrid Inorganic: Hf 2 Zr 2 high density materials Hf has higher absorbance than Zr at 13.5 nm rganic: Lower density Photo absorption crosssection (cm 2 /mol) Zr Hf Absorption optimization: Hf:Zr ratio rganic content film density Atomic Number CRNELL

6 EUV Lithography Highest EUV sensitivity reported to date! SuMMIT analysis: CD = 26.1 ± 0.11 nm LWR = 6.0 ± 0.10 nm LER = 3.8 ± 0.07 nm SuMMIT analysis: CD = 21.5 ± 0.58 nm LWR = 9.0 ± 0.18 nm LER = 5.6 ± 0.18 nm Zr-MAA + PAG Dose: 4.2 mj/cm 2 Half pitch 34nm 32nm 30nm 28nm Zr-MAA + PAG Dose: 16.5 mj/cm 2 CRNELL

7 Etch Resistance / Pattern Transfer Etch rate comparison of PHST and Hf-MAA resist 2 plasma treatment has no detrimental effect on pattern transfer 200 Film thickness (nm) nm/sec 0.17 nm/sec PHST HfMAA SF 6 / 2 pattern transfer Time (sec) HfMAA has 25 times better etch resistance than PHST CF 4 pattern transfer CRNELL

8 E-beam and 193i Lithography HfMAA + DPAP 193 dry, negative tone, 150nm HfMAA + DPAP E-beam, negative tone HfMAA + DPAP 193 immersion, negative tone, 40nm CRNELL

9 Inorganic Photoresist Platform Metal oxide nanoparticles with organic surface ligands Many possible combinations of inorganic cores, organic ligands and photoactive compounds Photoactive compound Inorganic cores to date: Zr 2 or Hf 2, other metal oxides can be used rganic shell: Carboxylic and sulfonic acids bind strongly. Tailored ligands. Photoactive compounds: Photoradical initiator or PAG CRNELL

10 First Hypothesized Mechanism Negative tone: Crosslink MAA via radical mechanism Positive tone unlikely Hf 2 H H Hf 2 H H H Hf 2 Hf 2 Hf 2 Hf 2 CRNELL

11 Dual-Tone Photoresist Dual-tone photoresist: Dual-Tone Capability The same Hf or Zr based films can be patterned on both tones only by changing the postexposure processing (+) HfMAA (-) (+) ZrMAA (-) CRNELL

12 Alternative Cores and Ligands H H Hf 2 H H H ü Dual-tone ü EUV ü E-beam, 193, DUV Alternative ligands: HfMAA H H Zr 2 H H H ü Dual-tone ü EUV ü E-beam, DUV ZrMAA H H Hf 2 H H H ü Dual-tone ü E-beam, DUV HfIBA CRNELL

13 Synthesis and Characterization Film FT-IR of Hf-MAA Transmittance (%) Wavenumber (cm-1) Particle size - DLS 2-3 nm Hf- MAA Zr- MAA Number (%) Particle diameter (nm) rganic content TGA Controlled MAA concentration CRNELL

14 Negative tone Not CAR Mechanism rganic alcohol developer, no PEB Photoinitiator or photoacid generator aids ligand crosslinking IR also suggests a change in the bonds corresponding to the binding ligands Positive tone Aq. base developer, PEB needed Solubility of unexposed regions is changed with PEB IR of Hf-MAA with 5 wt% PAG Difference between unexposed and exposed films Transmittance (%) Wavenumber (cm-1) CRNELL

15 Summary Highly sensitive, high resolution EUV resist based on Hf-xide and Zr-xide Excellent etch resistance Both negative (expected) and positive (unexpected) tone New non-car mechanism for pattern formation ther cores and ligands possible and this will lead to further improvements CRNELL

Recent progress in nanoparticle photoresist development for EUV lithography

Recent progress in nanoparticle photoresist development for EUV lithography Recent progress in nanoparticle photoresist development for EUV lithography Kazuki Kasahara ab, Vasiliki Kosma b, Jeremy Odent b, Hong Xu b, Mufei Yu b, Emmanuel P. Giannelis b, Christopher K. Ober b a

More information

Molecular Organometallic Resists for EUV (MORE) October 6, 2013

Molecular Organometallic Resists for EUV (MORE) October 6, 2013 Molecular Organometallic Resists for EUV (MORE) October 6, 2013 Brian Cardineau, 1 James Passarelli, 1 Miriam Sortland, 1 Ryan Del Re, 1 Westly Tear, 1 Hashim Al-Mashat, 2 Miles Marnell, 2 Kara Heard,

More information

Supplementary Information

Supplementary Information Supplementary Information Experimental Section Hybrid Nanoparticle Synthesis The hafnium nanoparticles surface-modified with methacrylic acid (MAA, Sigma Aldrich, 99%), trans 2, 3-dimethylacrylic acid

More information

Introduction. Photoresist : Type: Structure:

Introduction. Photoresist : Type: Structure: Photoresist SEM images of the morphologies of meso structures and nanopatterns on (a) a positively nanopatterned silicon mold, and (b) a negatively nanopatterned silicon mold. Introduction Photoresist

More information

RLS Trade-Off: Questions about Molecular Size and Quantum Yield

RLS Trade-Off: Questions about Molecular Size and Quantum Yield RLS Trade-Off: Questions about Molecular Size and Quantum Yield Robert Brainard and Craig Higgins Supported by SEMATECH and Rohm and Haas 10/2/08 1 Outline I. Introduction II. III. IV. Effect of Molecular

More information

Synthesis and Property of Tellurium-Containing Polymer for Extreme Ultraviolet Resist Material

Synthesis and Property of Tellurium-Containing Polymer for Extreme Ultraviolet Resist Material Journal of Photopolymer Science and Technology Volume 30, Number 1 (2017) 103-107 C 2017SPST Synthesis and Property of Tellurium-Containing Polymer for Extreme Ultraviolet Resist Material Mari Fukunaga

More information

Development of Lift-off Photoresists with Unique Bottom Profile

Development of Lift-off Photoresists with Unique Bottom Profile Transactions of The Japan Institute of Electronics Packaging Vol. 8, No. 1, 2015 [Technical Paper] Development of Lift-off Photoresists with Unique Bottom Profile Hirokazu Ito, Kouichi Hasegawa, Tomohiro

More information

Extreme ultraviolet induced chemical reactions in photoresists and model systems

Extreme ultraviolet induced chemical reactions in photoresists and model systems Extreme ultraviolet induced chemical reactions in photoresists and model systems S. Castellanos Advanced Research Center for Nanolithography 2017 EUVL workshop, June 12-15 List of contributors ARCNL: EUV

More information

Takeo Watanabe Center for EUVL, University of Hyogo

Takeo Watanabe Center for EUVL, University of Hyogo Soft X-ray Absorption Spectroscopy using SR for EUV Resist Chemical Reaction Analysis Takeo Watanabe Center for EUVL, University of Hyogo Outline 1) Background 2) Princple of X-ray absorption spectroscopy

More information

Effect of PAG Location on Resists for Next Generation Lithographies

Effect of PAG Location on Resists for Next Generation Lithographies Effect of PAG Location on Resists for Next Generation Lithographies ber Research Group Materials Science & Engineering Ithaca, NY 14853 Development Trends in Microlithography 10 Contact Printer Architectures

More information

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Photolithography Overview  9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview http://www.intel.com/research/silicon/mooreslaw.htm 1 Moore s law only holds due to photolithography advancements in reducing linewidths 2 All processing to create electric components and circuits rely

More information

Resist material for negative tone development process

Resist material for negative tone development process Resist material for negative tone development process FUJIFILM Corporation Electronic Materials Research Laboratories P-1 Outline 1. Advantages of negative tone imaging for DP 2. Process maturity of negative

More information

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography February 26, 2009 Shinji Tarutani FUJIFILM Corporation Research & Development Management Headquarters Electronic Materials Research

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XIV, SPIE Vol. 3049, pp. 706-711. It

More information

Ali Hayek, a Yongan Xu, b Takashi Okada, a Stephen Barlow, a Xuelian Zhu, b Jun Hyuk Moon, b Seth R. Marder, * a and Shu Yang* b

Ali Hayek, a Yongan Xu, b Takashi Okada, a Stephen Barlow, a Xuelian Zhu, b Jun Hyuk Moon, b Seth R. Marder, * a and Shu Yang* b Supporting Information for: Poly(glycidyl methacrylate)s with controlled molecular weights as lowshrinkage resins for 3D multibeam interference lithography Ali Hayek, a Yongan Xu, b Takashi Okada, a Stephen

More information

Lecture 8. Photoresists and Non-optical Lithography

Lecture 8. Photoresists and Non-optical Lithography Lecture 8 Photoresists and Non-optical Lithography Reading: Chapters 8 and 9 and notes derived from a HIGHLY recommended book by Chris Mack, Fundamental Principles of Optical Lithography. Any serious student

More information

Is an EUV Film Quantum Yield of 30 Possible?

Is an EUV Film Quantum Yield of 30 Possible? Is an EUV Film Quantum Yield of 30 Possible? February 21, 2016 Resist TWG San Jose, CA Greg Denbeaux, Amrit Narasimhan, Steven Grzeskowiak, and Robert L. Brainard SUNY Polytechnic Institute Resist TWG

More information

Screening of basic resist materials and PAGs for EUV-Lithography

Screening of basic resist materials and PAGs for EUV-Lithography Screening of basic resist materials and PAGs for EUV-Lithography 1. Int. EUVL-Symposium, Dallas, TX Klaus Lowack, Wolf-Dieter Domke, liver Kirch, Karl Kragler, Heinz-Ulrich Scheunemann* Infineon Technologies

More information

Development of Photosensitive Polyimides for LCD with High Aperture Ratio. May 24, 2004

Development of Photosensitive Polyimides for LCD with High Aperture Ratio. May 24, 2004 Development of Photosensitive Polyimides for LCD with High Aperture Ratio May 24, 2004 utline Why is polymer dielectric required for TFT LCD? Requirements of the polymer dielectrics What is polyimide?

More information

Optical Proximity Correction

Optical Proximity Correction Optical Proximity Correction Mask Wafer *Auxiliary features added on mask 1 Overlay Errors + + alignment mask wafer + + photomask plate Alignment marks from previous masking level 2 (1) Thermal run-in/run-out

More information

Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation

Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation G. P. Patsis, A. Tserepi, I. Raptis, N. Glezos, and E. Gogolides a) Institute of Microelectronics,

More information

Orthogonal Processing: A New Strategy for Patterning Organic Electronics

Orthogonal Processing: A New Strategy for Patterning Organic Electronics 1 rthogonal Processing: A New Strategy for Patterning rganic Electronics ERC Teleconference 3/September/2009 Jin-Kyun Lee and Christopher K. ber* Materials Science & Engineering Cornell University 2 rganic

More information

SMALL MOLECULE PHOTORESIST MATERIALS FOR NEXT GENERATION LITHOGRAPHY. A Dissertation. Presented to the Faculty of the Graduate School

SMALL MOLECULE PHOTORESIST MATERIALS FOR NEXT GENERATION LITHOGRAPHY. A Dissertation. Presented to the Faculty of the Graduate School SMALL MOLECULE PHOTORESIST MATERIALS FOR NEXT GENERATION LITHOGRAPHY A Dissertation Presented to the Faculty of the Graduate School of Cornell University In Partial Fulfillment of the Requirements for

More information

EUV Resist-Fundamental Research

EUV Resist-Fundamental Research EUV Resist-Fundamental Research Akinori Saeki, Hiroki Yamamoto, Takahiro Kozawa, and Seiichi Tagawa The Institute of Scientific and Industrial Research, Osaka University CREST, Japan Science and Technology

More information

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists INTERFACE '95 This paper was published in the proceedings of the OCG Microlithography Seminar, Interface '95, pp. 217-228. It is made available as an electronic reprint with permission of OCG Microelectronic

More information

Novel Molecular Materials Based on Noria and Double Calixarene (Beryllus) for EB and EUV Resist Systems

Novel Molecular Materials Based on Noria and Double Calixarene (Beryllus) for EB and EUV Resist Systems 2010 International Workshop on EUV Lithography Makena Beach Golf Resort June 21-25, 25, 2010, Maui, Hawaii Novel Molecular Materials Based on Noria and Double Calixarene (Beryllus) for EB and EUV Resist

More information

Photolithography 光刻 Part II: Photoresists

Photolithography 光刻 Part II: Photoresists 微纳光电子材料与器件工艺原理 Photolithography 光刻 Part II: Photoresists Xing Sheng 盛兴 Department of Electronic Engineering Tsinghua University xingsheng@tsinghua.edu.cn 1 Photolithography 光刻胶 负胶 正胶 4 Photolithography

More information

Australian Journal of Basic and Applied Sciences. Characteristic of Photocurable Organic/Inorganic Hybrids Utilizing Acid Proliferation Reactions

Australian Journal of Basic and Applied Sciences. Characteristic of Photocurable Organic/Inorganic Hybrids Utilizing Acid Proliferation Reactions AENSI Journals Australian Journal of asic and Applied Sciences ISSN:1991-8178 Journal home page: www.ajbasweb.com Characteristic of Photocurable Organic/Inorganic Hybrids Utilizing Acid Proliferation Reactions

More information

NanoImprint Materials. March, IBM Almaden Research Center

NanoImprint Materials. March, IBM Almaden Research Center NanoImprint Materials Ratnam Sooriyakumaran Hiroshi Ito Mark Hart Frances Houle Geraud Dubois Robert Miller Robert Allen March, 2006 05/24/2006 Imprint in IBM IBM Research Division is conducting an exploratory

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process

Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process Mandar Bhave, Kevin Edwards, Carlton Washburn Brewer Science, Inc., 2401 Brewer Dr., Rolla, MO 65401,

More information

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Manish Chandhok, a Heidi Cao, a Wang Yueh, a Eric Gullikson, b Robert Brainard, c Stewart Robertson c a Intel Corporation,

More information

Simulation and characterization of surface and line edge roughness in photoresists before and after etching

Simulation and characterization of surface and line edge roughness in photoresists before and after etching Simulation and characterization of surface and line edge roughness in photoresists before and after etching Motivation of this work : Sub 100nm lithographic features often suffer from roughness. Need to

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Laser Lithography System. d Technology (SPST), (C) フォトポリマー学会 Rights データはフォトポリマー学会からの許諾を得て公開しています

Laser Lithography System.   d Technology (SPST), (C) フォトポリマー学会 Rights データはフォトポリマー学会からの許諾を得て公開しています Synthesis and Property of Tannic Title s and Their Application for Extre Author(s) AWA, Laser Lithography System KUD, Hiroto, HRI, Shizuya, TAK Hiroki, WATANABE, Takeo, YAM KZAWA, Takahiro Journal of Photopolymer

More information

Molecular Glass Resist with Organic Developer

Molecular Glass Resist with Organic Developer 1 Molecular Glass Resist with Organic Developer James M. Blackwell 1,2 Armando Ramirez 1 Hiroki Nakagawa 1,3 Yoshi Hishiro 3 1 Intel's Molecules for Advanced Patterning(MAP) Program, LBNL Molecular Foundry,

More information

Polymer Matrix Effects on EUV Acid Generation

Polymer Matrix Effects on EUV Acid Generation Polymer Matrix Effects on EUV Acid Generation Theodore H. Fedynyshyn, Russell B. Goodman, and Jeanette Roberts # Lincoln Laboratory Massachusetts Institute of Technology # Intel Corporation The Lincoln

More information

Understanding electron energy loss mechanisms in EUV resists using EELS and first-principles calculations

Understanding electron energy loss mechanisms in EUV resists using EELS and first-principles calculations Understanding electron energy loss mechanisms in EUV resists using EELS and first-principles calculations Robert Bartynski Sylvie Rangan Department of Physics & Astronomy and Laboratory for Surface Modification

More information

DUV Positive Photoresists

DUV Positive Photoresists Journal of Photopolymer Science and Technology Volume 5, Number 1(1992) 207-216 Factors Affecting the Performance of Chemically DUV Positive Photoresists Amplified James W. Thackeray, Theodore H. Fedynyshyn,

More information

Modeling of Environmentally Friendly Siloxane- Based Lithography Solvents

Modeling of Environmentally Friendly Siloxane- Based Lithography Solvents Modeling of Environmentally Friendly Siloxane- Based Lithography Solvents Gregory N. Toepperwein, Dan Rynearson, Juan J. de Pablo Christine Ouyang, Chris Ober 17 November 2011 1 Motivation Drive to reduce

More information

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

Methodology of modeling and simulating line-end shortening effects in deep-uv resist Methodology of modeling and simulating line-end shortening effects in deep-uv resist Mosong Cheng*, Ebo Croffie, Andrew Neureuther Electronics Research Laboratory Department of Electrical Engineering and

More information

SYNTHESIS AND PHOTOSENSITIVE PROPERTIES OF UV-CURABLE/ALKALI-SOLUBLE RESIN AS NEGATIVE PHOTORESIST

SYNTHESIS AND PHOTOSENSITIVE PROPERTIES OF UV-CURABLE/ALKALI-SOLUBLE RESIN AS NEGATIVE PHOTORESIST Journal of Materials Science and Engineering with Advanced Technology Volume 16, Number 2, 2017, Pages 69-87 Available at http://scientificadvances.co.in DOI: http://dx.doi.org/10.18642/jmseat_7100121898

More information

More on Stochastics and the Phenomenon of Line-Edge Roughness

More on Stochastics and the Phenomenon of Line-Edge Roughness More on Stochastics and the Phenomenon of Line-Edge Roughness Chris A. Mack 34 th International Photopolymer Science and Technology Conference Chiba, Japan, June 28, Conclusions We need more than just

More information

ORGANIC - BRUICE 8E CH MASS SPECT AND INFRARED SPECTROSCOPY

ORGANIC - BRUICE 8E CH MASS SPECT AND INFRARED SPECTROSCOPY !! www.clutchprep.com CONCEPT: PURPOSE OF ANALYTICAL TECHNIQUES Classical Methods (Wet Chemistry): Chemists needed to run dozens of chemical reactions to determine the type of molecules in a compound.

More information

Organometallic Carboxylate Resists for EUV with High Sensitivity

Organometallic Carboxylate Resists for EUV with High Sensitivity Organometallic Carboxylate Resists for EUV with High Sensitivity An honors thesis presented to the College of Nanoscale Science and Engineering, University at Albany, State University of New York In partial

More information

Introduction to Photolithography

Introduction to Photolithography http://www.ichaus.de/news/72 Introduction to Photolithography Photolithography The following slides present an outline of the process by which integrated circuits are made, of which photolithography is

More information

Measurement of the role of secondary electrons in EUV resist exposures

Measurement of the role of secondary electrons in EUV resist exposures Measurement of the role of secondary electrons in EUV resist exposures June 12, 213 International Workshop on EUV Lithography Greg Denbeaux a, Justin Torok, a Ryan Del Re, a Henry Herbol, a Sanjana Das,

More information

Radical Initiation 2017/2/ ) Thermal Decomposition of Initiators

Radical Initiation 2017/2/ ) Thermal Decomposition of Initiators adical Initiation Production of radicals (from initiator) to initiate chain polymerization. A variety of initiator systems can be used to bring about the radical polymerization. 1) Thermal Decomposition

More information

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media.

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media. originates from the limited number of options regarding orthogonal solvents, i.e. solvents that do not dissolve or adversely damage a pre-deposited organic materials layer. The simplest strategy to achieve

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

QsT/ Recently, chemically amplified EUV photoresists have been developed which

QsT/ Recently, chemically amplified EUV photoresists have been developed which , i -..,. Polvmer. Effects on Acid Generation Efficiency Using EUV and DUV Exposures - The mbmitkd amnuaaripihas b Paul Dentinger,* Robert L. Brainard,2 Joseph F. Mackevich,2 Jeffrey M. Guevremont? and

More information

Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication

Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication Benjamen M. Rathsack, Cyrus E. Tabery, Timothy B. Stachowiak, Jeff Albelo 2 and C. Grant Willson Department

More information

Supporting Information. for. Angew. Chem. Int. Ed. Z Wiley-VCH 2004

Supporting Information. for. Angew. Chem. Int. Ed. Z Wiley-VCH 2004 Supporting Information for Angew. Chem. Int. Ed. Z53009 Wiley-VCH 2004 69451 Weinheim, Germany Shear Patterning of Microdominos: A New Class of Procedures for Making Micro- and Nanostructures ** Byron

More information

Exploration of non-chemically amplified resists based on chain-scission mechanism for 193 nm lithography

Exploration of non-chemically amplified resists based on chain-scission mechanism for 193 nm lithography Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 2010 Exploration of non-chemically amplified resists based on chain-scission mechanism for 193 nm lithography

More information

A Parameter Extraction Framework for DUV Lithography Simulation

A Parameter Extraction Framework for DUV Lithography Simulation A Parameter Extraction Framework for DUV Lithography Simulation Nickhil Jakatdar 1, Junwei Bao, Costas J. Spanos Dept. of Electrical Engineering and Computer Sciences, University of California at Berkeley,

More information

Surface Imaging Through Silylation

Surface Imaging Through Silylation 66 Surface Imaging Through Silylation Chelsea R. Plourde Silylation is a surface imaging technique which allows silicon to absorb into photoresist in specified areas. Photoresist containing silicon creates

More information

Pattern Transfer- photolithography

Pattern Transfer- photolithography Pattern Transfer- photolithography DUV : EUV : 13 nm 248 (KrF), 193 (ArF), 157 (F 2 )nm H line: 400 nm I line: 365 nm G line: 436 nm Wavelength (nm) High pressure Hg arc lamp emission Ref: Campbell: 7

More information

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center Functional Materials for Advanced Patterning Robert D. Allen Business Unit or Product Name IBM Almaden Research Center 2003 IBM Corporation Resists/Materials for Advanced Patterning Trends in Lithography

More information

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law Chris A. Mack Lithoguru.com, 1605 Watchhill Rd, Austin, TX 78703 Abstract Moore s Law, the idea that every

More information

5. Photochemistry of polymers

5. Photochemistry of polymers 5. Photochemistry of polymers 5.1 Photopolymerization and cross-linking Photopolymerization The fundamental principle of photopolymerization is based on the photoinduced production of a reactive species,

More information

EUREKA: A new Industry EUV Research Center at LBNL

EUREKA: A new Industry EUV Research Center at LBNL EUREKA: A new Industry EUV Research Center at LBNL Patrick Naulleau Center for X-ray Optics Lawrence Berkeley National Laboratory Berkeley Lab MSD Materials Sciences Division 1 Operating model Core operational

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Spring 2009.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Spring 2009. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE143 Professor Ali Javey Spring 2009 Exam 1 Name: SID: Closed book. One sheet of notes is allowed.

More information

Polymer Systems and Film Formation Mechanisms in High Solids, Powder, and UV Cure Systems

Polymer Systems and Film Formation Mechanisms in High Solids, Powder, and UV Cure Systems Polymer Systems and Film Formation Mechanisms in High Solids, Powder, and UV Cure Systems J. Baghdachi, Ph.D. Coatings Research Institute Eastern Michigan University (734) 487-3192 Freshpaint@aol.com jamil.baghdachi@emich.edu

More information

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y T u t o r (Summer 1994) Swing Curves Chris A. Mack, FINLE Technologies, Austin, Texas In the last edition of this column, we saw that exposing a photoresist involves the propagation

More information

Photo-Curable Siloxane Hybrid Material Fabricated by Thiol-Ene Reaction of Sol-Gel Synthesized Oligosiloxanes

Photo-Curable Siloxane Hybrid Material Fabricated by Thiol-Ene Reaction of Sol-Gel Synthesized Oligosiloxanes Supplementary Information for Photo-Curable Siloxane Hybrid Material Fabricated by Thiol-Ene Reaction of Sol-Gel Synthesized Oligosiloxanes Joon-Soo Kim, SeungCheol Yang, Hyung-Jin Park and Byeong-Soo

More information

Optimizing RLS. Seiichi Tagawa. The Institute of Scientific and Industrial Research Osaka University and JST-CREST

Optimizing RLS. Seiichi Tagawa. The Institute of Scientific and Industrial Research Osaka University and JST-CREST Optimizing RLS Seiichi Tagawa The Institute of Scientific and Industrial Research Osaka University and JST-CREST IEUVI Resist TWG October 2, 2008 Acknowledgement Prof. Takahiro Kozawa Dr. Akinori Kozawa

More information

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking Improving resist resolution and sensitivity via electric-field enhanced postexposure baking Mosong Cheng, a) Lei Yuan, Ebo Croffie, and Andrew Neureuther Electronics Research Laboratory, University of

More information

Photonics applications 5: photoresists

Photonics applications 5: photoresists IMI-NFG s Mini Course on Chalcogenide Glasses Lecture 11 Photonics applications 5: photoresists Himanshu Jain Department of Materials Science & Engineering Lehigh University, Bethlehem, PA 18015 H.Jain@Lehigh.edu

More information

Preparation and Characterization of Organic/Inorganic Polymer Nanocomposites

Preparation and Characterization of Organic/Inorganic Polymer Nanocomposites Preparation and Characterization of rganic/inorganic Polymer Nanocomposites Proceedings of European Congress of Chemical Engineering (ECCE-6) Copenhagen, 16-20 September 2007 Preparation and Characterization

More information

ORGANIC - BROWN 8E CH INFRARED SPECTROSCOPY.

ORGANIC - BROWN 8E CH INFRARED SPECTROSCOPY. !! www.clutchprep.com CONCEPT: PURPOSE OF ANALYTICAL TECHNIQUES Classical Methods (Wet Chemistry): Chemists needed to run dozens of chemical reactions to determine the type of molecules in a compound.

More information

Exploration of non-chemically amplified resists based on dissolution inhibitors for 193 nm lithography

Exploration of non-chemically amplified resists based on dissolution inhibitors for 193 nm lithography Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 2010 Exploration of non-chemically amplified resists based on dissolution inhibitors for 193 nm lithography Burak

More information

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH High NA the Extension Path of EUV Lithography Dr. Tilmann Heil, Carl Zeiss SMT GmbH Introduction This talk is about resolution. Resolution λ = k 1 NA High-NA NA 0.33 0.4 0.5 0.6 Resolution @ k1=0.3 single

More information

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Dry Etching Zheng Yang ERF 3017,   MW 5:15-6:00 pm Dry Etching Zheng Yang ERF 3017, email: yangzhen@uic.edu, MW 5:15-6:00 pm Page 1 Page 2 Dry Etching Why dry etching? - WE is limited to pattern sizes above 3mm - WE is isotropic causing underetching -

More information

EUVL Readiness for High Volume Manufacturing

EUVL Readiness for High Volume Manufacturing EUVL Readiness for High Volume Manufacturing Britt Turkot Intel Corporation Outline Exposure Tool Progress Power Availability Intel demo results Reticle Defectivity Pellicle Materials Conclusion 2 Source

More information

FEM Modeling of Shrinkage Effects in Negative Tone Photoresists

FEM Modeling of Shrinkage Effects in Negative Tone Photoresists FEM Modeling of Shrinkage Effects in Negative Tone Photoresists Master s Thesis/Masterarbeit in the field of Computational Engineering by Sean Dominic D Silva Department Informatik Lehrstuhl für Informatik

More information

Next: 193nm Lithography

Next: 193nm Lithography Lecture 16 Chemical Engineering for Micro/Nano Fabrication Next: 193nm Lithography Absorption coefficient [1/µm] Absorption of Photoresist Polymers 2.8 Poly-(4-hydroxystyrene) 2.4 Meta-cresol novolak 2.0

More information

Chemistry 2050 Introduction to Organic Chemistry Fall Semester 2011 Dr. Rainer Glaser

Chemistry 2050 Introduction to Organic Chemistry Fall Semester 2011 Dr. Rainer Glaser Chemistry 2050 Introduction to Organic Chemistry Fall Semester 2011 Dr. Rainer Glaser Examination #3 Alcohols, Ethers, Aldehydes & Ketones. Wednesday, October 26, 2011, 10 10:50 am Name: Question 1. Names,

More information

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Lithography for Semiconductor Manufacturing SPIE Vol. 3741, pp. 148-160. It is made

More information

Photoresists for Screen Printing Plates with High Resolution and Sensitivity Using Thiol-ene Reaction

Photoresists for Screen Printing Plates with High Resolution and Sensitivity Using Thiol-ene Reaction Journal of Photopolymer cience and Technology Volume 28, Number 1 (2015) 61 66 2015PT Photoresists for creen Printing Plates with High Resolution and ensitivity Using Thiol-ene Reaction Haruyuki kamura,

More information

ZEP520 ZEP520. Technical Report. ZEON CORPORATION Specialty Materials Division. High Resolution Positive Electron Beam Resist.

ZEP520 ZEP520. Technical Report. ZEON CORPORATION Specialty Materials Division. High Resolution Positive Electron Beam Resist. Technical Report ZEP52 ZEP52 Ver.1.2 Mar.21 ZEONREX Electronic Chemicals High Resolution Positive Electron Beam Resist ZEP52 ZEON CORPORATION Specialty Materials Division Headquarters R&D Center Furukawa

More information

Chemistry 216. First Exam (March 16, 2010) (1 hr 15 min, 80 points) Dr. Kyoung Moo Koh. Lab section. GSI name. Name Please print.

Chemistry 216. First Exam (March 16, 2010) (1 hr 15 min, 80 points) Dr. Kyoung Moo Koh. Lab section. GSI name. Name Please print. Chemistry 216 First Exam (March 16, 2010) (1 hr 15 min, 80 points) Dr. Kyoung Moo Koh Lab section GSI name Name Please print Signature Student ID# I 8 II 10 III 6 IV 12 V 12 VI 10 VII 14 VIII 8 Total 80

More information

Application of Stochastic Modeling to Resist Optimization Problems

Application of Stochastic Modeling to Resist Optimization Problems Application of Stochastic Modeling to Resist Optimization Problems John J. Biafore, Mark D. Smith KLA-Tencor, FINLE Division, North Capital of Texas Highway, Austin, TX 779 BACKGROUND: Modifying specific

More information

EUV Lithography Status and Key Challenges for HVM Implementation

EUV Lithography Status and Key Challenges for HVM Implementation EUV Lithography Status and Key Challenges for HVM Implementation Sam Intel Corporation Moore s Law at Intel 10 Feature Size (um) 100 Cell Area (sq um) 1 10 0.5x every 2 years 0.1 1 0.01 1970 1980 1990

More information

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XVI, SPIE Vol. 3678, pp. 1-1011. It

More information

Photoinitiation, Photopolymerization, and Photocuring

Photoinitiation, Photopolymerization, and Photocuring Jean-Pierre Fouassier Photoinitiation, Photopolymerization, and Photocuring Fundamentals and Applications Hanser Publishers, Munich Vienna New York Hanser/Gardner Publications, Inc., Cincinnati Contents

More information

Chen et al. (45) Date of Patent: Dec. 5, (54) EFFECTIVE PHOTORESIST STRIPPING (56) References Cited

Chen et al. (45) Date of Patent: Dec. 5, (54) EFFECTIVE PHOTORESIST STRIPPING (56) References Cited (12) United States Patent USOO7144673B2 (10) Patent No.: US 7,144.673 B2 Chen et al. (45) Date of Patent: Dec. 5, 2006 (54) EFFECTIVE PHOTORESIST STRIPPING (56) References Cited PROCESS FOR HIGH DOSAGE

More information

Roy Tess Award Cliff Schoff. Delayed (Latent) Catalysis in Coatings Werner J. Blank Consultant

Roy Tess Award Cliff Schoff. Delayed (Latent) Catalysis in Coatings Werner J. Blank Consultant Roy Tess Award Cliff Schoff Delayed (Latent) Catalysis in Coatings Werner J. Blank Consultant Antoine Carroy, Kurt Dietliker, Tunja Jung, Caroline Lordelot Ciba Why Delay Latent catalyst Delayed catalyst

More information

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists Nickhil Jakatdar 1, Junwei Bao, Costas J. Spanos Dept. of Electrical Engineering and Computer Sciences, University

More information

DQN Positive Photoresist

DQN Positive Photoresist UNIVESITY OF CALIFONIA, BEKELEY BEKELEY DAVIS IVINE LOS ANGELES IVESIDE SAN DIEGO SAN FANCISCO SANTA BABAA SANTA CUZ DEPATMENT OF BIOENGINEEING 94720-1762 BioE 121 Midterm #1 Solutions BEKELEY, CALIFONIA

More information

1 Answer. 2 Answer A B C D

1 Answer. 2 Answer A B C D 216 W10-Exam #1 Page 1 of 9. I. (8 points) 1) Given below are infrared (IR) spectra of four compounds. The structures of compounds are given below. Assign each spectrum to its compound by putting the letter

More information

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XVIII, SPIE Vol. 4345, pp. 1013-1021.

More information

UV-POLYMERIZATION IN THE PRESENCE OF NANOFILLERS CHAP Introduction

UV-POLYMERIZATION IN THE PRESENCE OF NANOFILLERS CHAP Introduction UV-POLYMERIZATION IN THE PRESENCE OF NANOFILLERS 3.1 Introduction The first problem in preparing UV-cured composites is the filler transparency towards UV-light. Otherwise it will be a competition of the

More information

Techniken der Oberflächenphysik (Techniques of Surface Physics)

Techniken der Oberflächenphysik (Techniques of Surface Physics) Techniken der Oberflächenphysik (Techniques of Surface Physics) Prof. Yong Lei & Dr. Yang Xu (& Liying Liang) Fachgebiet 3D-Nanostrukturierung, Institut für Physik Contact: yong.lei@tu-ilmenau.de; yang.xu@tu-ilmenau.de;

More information

Photosensitive polyimides without side chain: negative-tone reaction development patterning

Photosensitive polyimides without side chain: negative-tone reaction development patterning Photosensitive polyimides without side chain: negative-tone reaction development patterning Toshiyuki yama Department of Advanced Materials Chemistry, Faculty of Engineering, Yokohama ational University

More information

ORGANIC - EGE 5E CH UV AND INFRARED MASS SPECTROMETRY

ORGANIC - EGE 5E CH UV AND INFRARED MASS SPECTROMETRY !! www.clutchprep.com CONCEPT: IR SPECTROSCOPY- FREQUENCIES There are specific absorption frequencies in the functional group region that we should be familiar with EXAMPLE: What are the major IR absorptions

More information

Supporting Information

Supporting Information 1 Supporting Information Photoluminescent Carbogenic Dots A. B. Bourlinos, A. Stassinopoulos, D. Anglos, R. Zboril, V. Georgakilas, E. P. Giannelis *, Institute of Materials Science, NCSR Demokritos, Ag.

More information

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Uzodinma Okoroanyanwu, 1 Aiqin Jiang, 2 Kornelia Dittmar, 3 Torsten Fahr, 3 Thomas Laursen, 2 Obert Wood, 1 Kevin Cummings, 2 Christian

More information

Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography

Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography MCROU,!~'O~C ELSEVER Microelectronic Engineering 46 (1999) 173-177 Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography DUV Holographic L. A. Wang*, C. H. Lin and J. H. Chen nstitute

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

(12) (10) Patent No.: US 7, B2 Geng et al. (45) Date of Patent: Jun. 26, 2007

(12) (10) Patent No.: US 7, B2 Geng et al. (45) Date of Patent: Jun. 26, 2007 United States Patent USOO7235478B2 (12) (10) Patent No.: US 7,235.478 B2 Geng et al. (45) Date of Patent: Jun. 26, 2007 (54) POLYMER SPACER FORMATION 6,537.866 B1* 3/2003 Shields et al.... 438, 183 6,562,696

More information