A Parameter Extraction Framework for DUV Lithography Simulation

Size: px
Start display at page:

Download "A Parameter Extraction Framework for DUV Lithography Simulation"

Transcription

1 A Parameter Extraction Framework for DUV Lithography Simulation Nickhil Jakatdar 1, Junwei Bao, Costas J. Spanos Dept. of Electrical Engineering and Computer Sciences, University of California at Berkeley, CA Xinhui Niu 2 Timbre Technology Inc., 2000 Walnut Ave, #H-103, Fremont, CA Joe Bendik National Semiconductor Corporation, 2900 Semiconductor Drive, Santa Clara, CA Stephen Hill Semiconductor Systems Division, Bio-Rad, 520 Clyde Avenue, Mountain View, CA ABSTRACT As the semiconductor industry moves into the deep submicron range, the costs associated with wafer processing are increasing rapidly. This calls for improved simulation capabilities that provide information for meaningful what-if analyses. This work proposes a common methodology for extracting information from Fourier Transform Infrared Spectroscopy (FTIR), Dissolution Rate Monitor (DRM) and ellipsometry measurements, to be ultimately used for the calibration of commercial lithography simulation tools. Using global optimization techniques, this approach uses cross-section CD data available in fabs to tune the simulation engine, thus giving it the predictive capabilities that could potentially improve yield ramp rates and hence reduce development costs. Results of this framework for a commercial Shipley resist are presented. Keywords: Fourier Transform Infrared Spectroscopy, Dissolution Rate Monitor, Ellipsometry, Prolith, Adaptive Simulated Annealing, Timbre VLB, Chemically Amplified Resists, Photolithography, Profile Matching, Process Simulation. 1. INTRODUCTION As the semiconductor industry moves into the deep submicron regime (< 0.18 µm) and larger wafer diameters (300 mm), the cost associated with processing each wafer is increasing rapidly. Since time to market is crucial, we need a more efficient development process. Improvements in lithographic modeling are thus needed in order to extract the maximum amount of information from limited experimentation. The need for reliable modeling is especially true for chemically amplified resists (CARs). CARs are very sensitive to processing conditions and hence an ideal calibration procedure would entail determining the chemical, physical and kinetic quantities relevant to the resist system and the specific processing conditions. The objective is to use such a model with a lithography process simulator (such as Prolith) to accurately predict the lithographic performance. We begin by identifying the key parameters required for effective simulation. Using our newly proposed exposure and bake models, as well as existing develop models, many of the identified parameters were extracted using FTIR, DRM and ellipsometry experiments[1]. After this first round of parameter tuning, there still remained some parameters that did not lend themselves 1. Further author information - N.J. (correspondence): nickhil@eecs.berkeley.edu; WWW: Telephone: (510) ; Fax: (510) Formerly with U.C. Berkeley

2 to extraction from experimentation. These parameters were obtained using the Timbre Virtual Lithography Bench (Timbre VLB), a commercial software program that tunes the parameters of the lithography simulator in order to match simulation to experimentally obtained cross-section CD profiles (based on FEM data). Simulation tools are used routinely to predict first order effects, and lots of effort is spent calibrating those simulators. Still, there is a long way to go before a process engineer will depend entirely on simulations to design the next process. The work presented in this paper aims at improving the models and reducing the cost of calibration. 2. BACKGROUND 2.1 Critical Parameter Identification In this section, we identify the parameters in the lithography process simulators that we have typically found to be the most critical. The parameters listed in Table 2.1 can also be divided according to the specific step in the lithography sequence. Although this list would differ from one situation to the next, this is meant to serve only as a typical example. The methodology suggested in this paper is universal and can hence be applied across different parameters. Table 2.1. Critical Lithography Simulation Parameters Amp. Rate (Pre-exp.) 1/sec A amp Maximum Develop Rate A/sec R max Amp. Rate (Activation) Kcal/mole E amp Minimum Develop Rate A/sec R min Acid Loss Rate (Pre-exp.) 1/sec A α Developer Selectivity - n Acid Loss Rate (Activation) Kcal/mole E α Developer threshold PAC - m th Dill s A parameter µm -1 A Res. Refractive Index (Real) - n res Dill s B parameter µm -1 B Res. Refractive Index (Imag.) - k res Dill s C Parameter cm 2 /mj C ARC Refractive Index (Real) - n arc Relative Quencher Conc. - [Q] ARC Refractive Index (Imag.) - k arc PEB Diffusivity (Pre-exp.) nm 2 /sec A diff Relative Focus µm F PEB Diffusivity (Activation) Kcal/mole E diff Amp. Reaction Order - O 2.2 Models for Exposure, Bake and Develop The exposure process in chemically amplified resists has been modeled by Byers, et. al.[2]. The applied dose is first converted into the effective dose coupled into the resist as a function of depth into the resist. This depth dependence of the exposure dose uses a simplified form of the full wave equation result, and is given in Eq. 1. Dose() z = Dose( 0) e αz + r 2 e α( 2d z) 2 re αd cos 4πnd ( z) λ (1) where the Dose(0) is the applied dose in mj/cm 2, corrected by the reflectivity at the air-resist interface. α is the linear absorbance of the resist film in µm -1, d is the film thickness in nm, n is the real part of the refractive index, λ is the exposure wavelength in nm and r is the reflectivity coefficient of the resist/substrate interface. This exposure dose is then converted into acid as follows:

3 [ Acid] dose = [ PAG] 0 ( 1 e C dose ) (2) where Acid dose is the concentration of acid at any given dose, PAG 0 is the initial concentration of the photoacid generator, C is the rate of photoacid formation and dose is the effective dose given by Eq. 1. During the PEB process, the t-boc blocked polymer undergoes acidolysis to generate the soluble hydroxyl group in the presence of acid and heat [2]. The conventional modeling of the PEB process is given in Eq. 3. m = 1 e k loss t k amp Aciddose k loss e (3) where m is the normalized concentration of unreacted blocking sites, k amp is the acid amplification factor and k loss is the acid loss factor. Both these factors depend on temperature with an Arrhenius relationship. k amp A exp E amp amp and RT E = k loss = A exp loss loss RT where R is the universal gas constant, 1.99 cal.mole -1 K -1 and T is the temperature in Kelvin. The assumption made here was that the concentration gradient of acid in the film as a result of changing exposure conditions caused by internal interference and absorbance during exposure, is close to zero. However, the combined exposure and PEB models (Eq. 3) do not account for the initial delay in the increase of the deprotection vs. dose at different temperatures. We assume that this is caused due to the quencher designed into most chemically amplified resists. We propose two models to account for the relative quencher concentration ([Q]). In the first model (Eq. 4), we assume that the quencher manifests itself as a reduced effective exposure dose, and that the quenching process occurs during the exposure step itself. This phenomenon is clearly seen when plotting deprotection vs. dose and temperature curves. The different temperatures yield different slopes for the curves, but all of them require a certain amount of exposure dose before any deprotection begins. This threshold dose can be used as a good first guess for the dose q value. This model is simplistic, in the sense that the mechanism is broken into two parts: the initial quenching reaction, followed by the actual deprotection reaction. The final normalized acid concentration can hence be written as follows: [ Acid] dose 1 e C ( dose dose q) = (4) while the relative quencher concentration can then be calculated as: Q 1 e C dose q = (5) In the second model, we assume that during the PEB process, acid is lost in neutralization reactions with bases that are either designed into the resist, or exist as unreacted portions of the polymer. This indicates that the bases will also correspondingly

4 reduce with time, and the difference between the acid and base concentrations will remain constant throughout the PEB process. We model the above mechanism through Eq. 6 and Eq. 7. [ Acid] = kα [ Acid] Q[ ] t (6) [ Acid] t Q[] t = Const = [ Acid] 0 Q[] 0 (7) where k α is the neutralization reaction coefficient modeled by an Arrhenius temperature relation, [Q] is the quencher concentration and [Acid] t is the acid concentration. The initial value for quencher [Q] 0 is a parameter that can be extracted from the procedure described in Section 4 while the initial acid concentration [Acid] 0 is obtained from Eq. 2. Solving the above equations yields the following analytical solution for the acid concentration as a function of the PEB time. ([ Acid] [ Acid] 0 Q[] 0 ) t = Q[ ] exp( [ Acid] k ([ Acid ] α 0 Q[] 0 )t ) 0 (8) Meanwhile, the deprotection reaction is typically modeled by Eq. 9, where k amp is the reaction amplification coefficient and is modeled by an Arrhenius relationship as a function of temperature. M[] = t kamp [ Acid] t M[] t (9) Substituting Eq. 8 in Eq.9 and solving for the normalized m, we get M[ ] t = m = M[ ] 0 ( [ Acid] 0 e k α( [ Acid] 0 Q[ ] 0 )t ) Q[ ] [ Acid] 0 Q[] 0 k amp k α (10) Eq. 10 differs from previous work in that it accounts for the fact that the bases (both parasitic and designed) are consumed in the neutralization reaction. Getting rid of the earlier simplifying assumption allows us to better model the initial delay in deprotection increase with exposure dose and hence provides an estimate of the relative quencher concentration [Q] 0. The development process is modeled by the standard Mack model [4] in our example, although more advanced models could also be used.

5 ( a + 1) ( 1 m) n R( m) = R max a + ( 1 m) n + R min ( n + 1) a = ( ( n 1) m ) n th (11) (12) where R max is the maximum development rate, R min is the minimum development rate, m th is the value of m at the inflection point of the data, called the threshold PAC concentration, and n is the dissolution selectivity parameter, which controls the contrast of the photoresist. The develop parameters can be extracted through either a standard DRM or a poor man s DRM experiment [3]. 2.3 Lithography Profile Simulation Modern lithography simulation engines such as Prolith and Solid-C are widely used in the industry. The efficacy of a simulator is limited by both the accuracy of the models as well as the correctness of the parameters used. While the models used by the simulators have been widely studied and provide fairly accurate process models for the lithography sequence, they typically suffer from having a very large number of parameters that need to be tuned in order to match the simulation to real world data. While some of these parameters have a physical basis and hence can be extracted from unpatterned and patterned photoresist experiments, the others are empirical parameters that are difficult to obtain through experimentation. Today s calibration procedure involves a manual optimization sequence wherein the parameters of the simulator are changed one at a time to fit to some experimental CD data available from the fab. This process is both erroneous, since it neglects interaction effects between the different parameters, and is time consuming as well. Thus, the process simulation engineer requires a very large amount of time and data to calibrate his/her simulator. After that, the simulator can only be used to study first order behavior, due to the lack of faith in its results. To overcome the problems stated above, we used Timbre PXM, a commercial optimization package that wraps around commercial lithography simulators. Timbre PXM employs a global optimization toolkit that circumvents the problems associated with the one-parameter-at-a-time optimization approach. It also provides a means of using the complete CD profile information available from cross-section CD-SEMs and AFMs. It does this by digitizing cross-section images for direct comparisons with Prolith predicted cross-sections. The next step is to decide on the parameters that the lithography profile is most sensitive to. We noted the parameters considered the most crucial in our experience. The selected parameters are then assigned ranges within which the actual value lies. The range of the parameters extracted using the methodology provided above can be made relatively small, while the rest of the parameters are given larger ranges, due to the limited information available on their values. The selected parameters are then tuned by PXM until the simulated profiles match the digitized experimental profiles across the complete focus-exposure matrix (FEM). The larger the range of settings that the experimental data is available for, the more global the simulation calibration procedure is. Thus, we now have an automated method for feeding back experimental profile information to calibrate the parameters of the simulator. Figure 1 depicts the proposed framework that integrates the initial parameter extraction method with the parameter optimization method.

6 Unpatterned Photoresist Characterization Experiments (e.g. DRM, FTIR, Ellipsometry) Global Optimization of TCAD functions (using Simulated Annealing) Initial Values for TCAD parameters Parameter ID Front End TCAD Tool (e.g.prolith,solid-c) Simulated Profile TIMBRE VLB Global Optimizer Graphics Front End Experimental Profile Patterned Photoresist Experiments (e.g. FEM) FIGURE 1. Flowchart of the framework for automatic calibration of lithography simulators using a set of unpatterned and patterned photoresist characterization experiments 3. EXPERIMENTAL The experiments were divided into unpatterned experiments, using flood exposures, and patterned experiments. All the wafers were coated with a commercial chemically amplified resist at the standard processing conditions. Spectroscopic ellipsometry was used for all the thin film measurements. 3.1 Unpatterned Experiments We began with the Ellipsometry and FTIR experiments to extract parameters for the exposure and PEB modules. This involved three wafers that were each exposed with twenty-five different exposure doses ranging from 0 to 7.6 mj/cm 2, and each wafer was subjected to different PEB temperatures from 120 to 135 degrees Celsius. Before the exposure step, the anti-reflective coating and the resist was measured for thickness and optical constants (real and imaginary part of the refractive indices) using a spectroscopic ellipsometer. The thicknesses on all the 25 sites was measured again after the PEB step, thus yielding the deprotection Induced Thickness Loss (DITL) [4]. These wafers were then measured with a commercially available FTIR tool from Bio-Rad. The deprotection was measured by tracking the ester bond (1150 cm -1 peak). This experiment yielded deprotection vs. dose and temperature tables, as well as raw ellipsometry data containing thin film optical constant information.

7 We then conducted the Poor Man s DRM experiment using ten wafers with a range of exposure doses at different development times. This yielded develop-related thickness loss versus exposure doses, which was converted into develop rates versus concentration of unreacted sites. 3.2 Patterned Experiments We used two wafers for patterned experiments. A focus-exposure matrix (FEM) was done on each of the two wafers centered around dose-to-size (exposure dose required to produce the proper dimension of the resist feature) and optimum focus. The wafers were each patterned with three different line-space ratios for the FEMs, using quarter micron technology. These wafers were then cleaved and measured with cross-section CD-SEMs. 4. RESULTS AND DISCUSSION 4.1 FTIR The first model, the simplistic two-step acid quencher model, was used to extract Dill s C parameter, the relative quencher concentration [Q], the pre-exponent for acid amplification A amp, the exponent for acid amplification E amp, the pre-exponent for acid loss A loss and the exponent for acid loss E loss. The data, at three different temperatures, can be plotted in order to help estimate the inflection point. The data above the inflection point was then used to fit to Eq. 3 with the formula for [Acid] dose coming from Eq. 4. The fit is shown in Figure 2. Notice that we have used the existing formulation for m, which does not model the initial delay in the deprotection vs. dose curve well, and hence the only innovation introduced was the concept of the effective dose to estimate the relative quencher concentration. ln(a amp )= /s E amp = Kcal/mole Deprotection (1-m) ln(a loss )= /s E loss = Kcal/mole C = cm 2 /mj Q = (dose q = 2.674) Exposure Dose (mj/cm 2 ) FIGURE 2. Experimental and Fitted Values for Deprotection (1-m) vs. Exposure Dose as a function of 3 different temperatures (120C, 130C, 135C) with a 145C soft-bake, using Eq. 4. The second model attempts to extract the Dill s C parameter, the relative quencher concentration [Q], the pre-exponent for acid amplification A amp, the exponent for acid amplification E amp, the pre-exponent for the neutralization reaction A α and the exponent for the neutralization reaction E α. However, it models the data over the entire range of doses, and hence does not require any pre-processing. Using Eq. 10, the optimization procedure provides the fitted parameter values shown in Figure 3. Note that

8 the value of [Q] extracted from the second model is higher than that extracted from the first model, due to the latter accounting for parasitic bases in addition to the designed quencher. Also, the values of C, A amp and E amp extracted with both methods are similar. Deprotection (1-m) ln(a amp ) = /s E amp = Kcal/mole ln(a α ) = /s E α = Kcal/mole C = cm 2 /mj 0.1 Q = Exposure Dose (mj/cm 2 ) FIGURE 3. Experimental and Fitted Values for Deprotection (1-m) vs. Exposure Dose as a function of 3 different temperatures (120C, 130C, 135C) using Eq Modified Poor Man s DRM The development model was relatively straightforward to fit, and the results of the optimization are shown in Figure 4. The modified Poor Man s DRM algorithm was used for efficient parameter extraction R max = 2636 A/sec Develop Rate (A/sec) R min = A/sec n = m th = Normalized Concentration of unreacted sites (m) FIGURE 4. Develop rate versus the normalized concentration of unreacted sites. Figure shows the fitting of the Mack develop model [3] to the data

9 4.3 Patterned Profiles The objective of this section is to show how developed profiles can be used to further tune the models we discussed in Eq. 1 through Eq. 12. Cross-section SEM photographs of the patterned profiles were taken. These photographs were then digitized to yield ascii files that could directly be compared to the Prolith output files. The unpatterned experiments yielded most of the parameters mentioned in Table 1, and were given small ranges to account for experimental errors. However, some of the other parameters such as the pre-exponent and the exponent of the diffusivity, the relative focus of the simulator with respect to the actual stepper and the amplification order were given larger ranges to account for our limited knowledge about these numbers. We also assumed Dill s A and B parameters as specified by the resist vendor, with small ranges. The optimization process was carried out over 6 combinations of focus-pitch at optimum exposure dose and done with 14 parameters simultaneously. This took approximately 12 hours of CPU time on a 350 MHz P-II processor to converge to the error limit specified by us. Results of the fitted profiles are overlaid on the actual profiles in Figure PITCH 1 PITCH 2 FOCUS FIGURE 5. Fitted versus simulated profiles across different focus-pitch combinations. The optimization process was carried out over 14 parameters simultaneously and took approximately 12 hours on a 350 MHz P-II machine Table 4.1 summarizes the results of the parameter extraction and optimization procedure

10 Table 4.1. Final Values of Optimization of Critical Lithography Parameters ln(a amp ) /s R max 3914 A/sec E amp Kcal/mole R min 5.87 A/sec ln(a α ) /s n 13.6 E α Kcal/mole m th A 0.00 /µm n res B /µm k res C cm 2 /mj n arc 1.47 Q k arc ln(a diff ) nm 2 /s F µm E diff Kcal/mole O 1 5. CONCLUSIONS In the preceding sections, we have identified the lithography simulation parameters that we have found to be most critical to the lithography process and have proposed models for the combined exposure and PEB modules. The proposed model accounts for the gradual decrease in the quencher concentration rather than modeling it simply by assuming a constant base concentration throughout the PEB process, thus successfully modeling the latency in the experimentally observed deprotection vs. dose curves. In conjunction with a global optimization technique, we have outlined an experimental framework that allows for extraction of many of the above mentioned parameters using a minimum number of bake and develop experiments. The remaining parameters were then extracted using a commercial simulation-optimization package based on experimental patterned profiles. We believe this is the first successful attempt at methodically and automatically calibrating lithography simulation tools to complete experimental profile information based on a series of unpatterned and patterned characterization experiments. 6. ACKNOWLEDGEMENTS This work was supported by the MICRO under contract , and by the UC-SMART under contract MP REFERENCES [1] N. Jakatdar, et.al., Characterization of a Chemically Amplified Photoresist for Simulation using a Modified Poor Man s DRM Methodology, SPIE vol. 3332, pp , 1998 [2] J. Byers, et.al., Characterization and Modeling of a Positive Chemically Amplified Resist, SPIE vol. 2438, pp , 1995 [3] C.A. Mack, Development of Positive Photoresist, Jour. Electrochemical Society, Vol.134, No.1, Jan 1987, pp [4] N. Jakatdar, et.al. Characterization of a Positive Chemically Amplified Photoresist from the Viewpoint of Process Control for the Photolithography Sequence, SPIE vol. 3332, pp , 1998

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists Nickhil Jakatdar 1, Junwei Bao, Costas J. Spanos Dept. of Electrical Engineering and Computer Sciences, University

More information

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

Methodology of modeling and simulating line-end shortening effects in deep-uv resist Methodology of modeling and simulating line-end shortening effects in deep-uv resist Mosong Cheng*, Ebo Croffie, Andrew Neureuther Electronics Research Laboratory Department of Electrical Engineering and

More information

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XVI, SPIE Vol. 3678, pp. 1-1011. It

More information

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Lithography for Semiconductor Manufacturing SPIE Vol. 3741, pp. 148-160. It is made

More information

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists INTERFACE '95 This paper was published in the proceedings of the OCG Microlithography Seminar, Interface '95, pp. 217-228. It is made available as an electronic reprint with permission of OCG Microelectronic

More information

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking Improving resist resolution and sensitivity via electric-field enhanced postexposure baking Mosong Cheng, a) Lei Yuan, Ebo Croffie, and Andrew Neureuther Electronics Research Laboratory, University of

More information

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XVIII, SPIE Vol. 4345, pp. 1013-1021.

More information

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y T u t o r (Summer 1994) Swing Curves Chris A. Mack, FINLE Technologies, Austin, Texas In the last edition of this column, we saw that exposing a photoresist involves the propagation

More information

Across-wafer CD Uniformity Enhancement through Control of Multi-zone PEB Profiles

Across-wafer CD Uniformity Enhancement through Control of Multi-zone PEB Profiles Across-wafer CD Uniformity Enhancement through Control of Multi-zone PEB Profiles Qiaolin Zhang *a, Paul Friedberg b, Cherry Tang c Bhanwar Singh c, Kameshwar Poolla a, Costas J. Spanos b a Dept of Mechanical

More information

Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation

Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation Study of Deprotection Reaction during Exposure in hemically Amplified Resists for Lithography Simulation Yasuhiro Miyake, Mariko Isono and Atsushi Sekiguchi Litho Tech Japan orporation, 2-6-6-201, Namiki,

More information

Simulation and characterization of surface and line edge roughness in photoresists before and after etching

Simulation and characterization of surface and line edge roughness in photoresists before and after etching Simulation and characterization of surface and line edge roughness in photoresists before and after etching Motivation of this work : Sub 100nm lithographic features often suffer from roughness. Need to

More information

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Photolithography Overview  9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview http://www.intel.com/research/silicon/mooreslaw.htm 1 Moore s law only holds due to photolithography advancements in reducing linewidths 2 All processing to create electric components and circuits rely

More information

Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication

Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication Benjamen M. Rathsack, Cyrus E. Tabery, Timothy B. Stachowiak, Jeff Albelo 2 and C. Grant Willson Department

More information

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Manish Chandhok, a Heidi Cao, a Wang Yueh, a Eric Gullikson, b Robert Brainard, c Stewart Robertson c a Intel Corporation,

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Development of Lift-off Photoresists with Unique Bottom Profile

Development of Lift-off Photoresists with Unique Bottom Profile Transactions of The Japan Institute of Electronics Packaging Vol. 8, No. 1, 2015 [Technical Paper] Development of Lift-off Photoresists with Unique Bottom Profile Hirokazu Ito, Kouichi Hasegawa, Tomohiro

More information

Copyright 1994 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1994 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1994 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XI, SPIE Vol. 2195, pp. 584-595. It

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XIV, SPIE Vol. 3049, pp. 706-711. It

More information

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks Hongseong Sohn and John Tracy Akrion Systems 6330 Hedgewood Drive, Suite 150 Allentown, PA 18106, USA Abstract

More information

Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XVI, SPIE Vol. 5040, pp. 151-161. It is made available

More information

Lecture 8. Photoresists and Non-optical Lithography

Lecture 8. Photoresists and Non-optical Lithography Lecture 8 Photoresists and Non-optical Lithography Reading: Chapters 8 and 9 and notes derived from a HIGHLY recommended book by Chris Mack, Fundamental Principles of Optical Lithography. Any serious student

More information

DUV Positive Photoresists

DUV Positive Photoresists Journal of Photopolymer Science and Technology Volume 5, Number 1(1992) 207-216 Factors Affecting the Performance of Chemically DUV Positive Photoresists Amplified James W. Thackeray, Theodore H. Fedynyshyn,

More information

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Lithography for Semiconductor Manufacturing SPIE Vol. 4404, pp. 111-122. It is made

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Photomask and X-Ray Mask Technology VII SPIE Vol. 466, pp. 172-179. It is made available

More information

Introduction. Photoresist : Type: Structure:

Introduction. Photoresist : Type: Structure: Photoresist SEM images of the morphologies of meso structures and nanopatterns on (a) a positively nanopatterned silicon mold, and (b) a negatively nanopatterned silicon mold. Introduction Photoresist

More information

Figure 1 below shows the generic process flow of an LELE method of double patterning.

Figure 1 below shows the generic process flow of an LELE method of double patterning. Multilayer Double Patterning MCEE 505/605 LM&P Rajiv Sejpal (585) 622-8081 rns4256@rit.edu Dept. of Microelectronics Engineering, Rochester Institute of Technology GOAL Due to the delay in next generation

More information

VASE. J.A. Woollam Co., Inc. Ellipsometry Solutions

VASE. J.A. Woollam Co., Inc. Ellipsometry Solutions VASE J.A. Woollam Co., Inc. Ellipsometry Solutions Accurate Capabilities The VASE is our most accurate and versatile ellipsometer for research on all types of materials: semiconductors, dielectrics, polymers,

More information

High Optical Density Photomasks For Large Exposure Applications

High Optical Density Photomasks For Large Exposure Applications High Optical Density Photomasks For Large Exposure Applications Dan Schurz, Warren W. Flack, Makoto Nakamura Ultratech Stepper, Inc. San Jose, CA 95134 Microlithography applications such as advanced packaging,

More information

Line Edge Roughness, part 2

Line Edge Roughness, part 2 Tutor57D.doc: Version 3/19/07 Line Edge Roughness, part T h e L i t h o g r a p h y E x p e r t (ay 007) In the last edition of this column [1], I began the difficult process of trying to understand the

More information

Application of Stochastic Modeling to Resist Optimization Problems

Application of Stochastic Modeling to Resist Optimization Problems Application of Stochastic Modeling to Resist Optimization Problems John J. Biafore, Mark D. Smith KLA-Tencor, FINLE Division, North Capital of Texas Highway, Austin, TX 779 BACKGROUND: Modifying specific

More information

Characterization and Modeling of a Positive Acting Chemically Amplified Resist

Characterization and Modeling of a Positive Acting Chemically Amplified Resist Characterization and Modeling of a Positive Acting Chemically Amplified Resist John S. Petersen, Chris A. Macic James W. Thackeray, Roger Sinta, Theodore H. Fedynyshyn, J. Michael Mori, Jeffrey D. Byers

More information

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law Chris A. Mack Lithoguru.com, 1605 Watchhill Rd, Austin, TX 78703 Abstract Moore s Law, the idea that every

More information

Recent progress in nanoparticle photoresist development for EUV lithography

Recent progress in nanoparticle photoresist development for EUV lithography Recent progress in nanoparticle photoresist development for EUV lithography Kazuki Kasahara ab, Vasiliki Kosma b, Jeremy Odent b, Hong Xu b, Mufei Yu b, Emmanuel P. Giannelis b, Christopher K. Ober b a

More information

Modeling Solvent Effects in. Optical Lithography

Modeling Solvent Effects in. Optical Lithography Modeling Solvent Effects in Optical Lithography by Chris A. Mack Copyright 1998 by Chris Alan Mack Modeling Solvent Effects in Optical Lithography by Chris Alan Mack, B.S., M.S. Dissertation Presented

More information

Characterization of Optical Proximity Correction Features

Characterization of Optical Proximity Correction Features Characterization of Optical Proximity Correction Features John Allgair, Michelle Ivy, Kevin Lucas, John Sturtevant Motorola APRDL, Austin, TX 7871 Richard Elliott, Chris A. Mack, Craig MacNaughton, John

More information

Nanostructures Fabrication Methods

Nanostructures Fabrication Methods Nanostructures Fabrication Methods bottom-up methods ( atom by atom ) In the bottom-up approach, atoms, molecules and even nanoparticles themselves can be used as the building blocks for the creation of

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Today: 1. Introduction E. Bernhard Kley Institute of Applied Physics Friedrich-Schiller

More information

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations Chapter 2 Process Variability Overview Parameter variability has always been an issue in integrated circuits. However, comparing with the size of devices, it is relatively increasing with technology evolution,

More information

More on Stochastics and the Phenomenon of Line-Edge Roughness

More on Stochastics and the Phenomenon of Line-Edge Roughness More on Stochastics and the Phenomenon of Line-Edge Roughness Chris A. Mack 34 th International Photopolymer Science and Technology Conference Chiba, Japan, June 28, Conclusions We need more than just

More information

Critical Dimension Uniformity using Reticle Inspection Tool

Critical Dimension Uniformity using Reticle Inspection Tool Critical Dimension Uniformity using Reticle Inspection Tool b Mark Wylie, b Trent Hutchinson, b Gang Pan, b Thomas Vavul, b John Miller, b Aditya Dayal, b Carl Hess a Mike Green, a Shad Hedges, a Dan Chalom,

More information

Polymer Matrix Effects on EUV Acid Generation

Polymer Matrix Effects on EUV Acid Generation Polymer Matrix Effects on EUV Acid Generation Theodore H. Fedynyshyn, Russell B. Goodman, and Jeanette Roberts # Lincoln Laboratory Massachusetts Institute of Technology # Intel Corporation The Lincoln

More information

ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM

ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM Jan van Schoot, Bert Koek, Chris de Mol, Peter van Oorschot. ASML Veldhoven, The Netherlands This paper was first presented at the Semicon/

More information

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Title Spatial scaling metrics of mask-induced induced line-edge roughness Permalink https://escholarship.org/uc/item/5rc666c3

More information

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media.

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media. originates from the limited number of options regarding orthogonal solvents, i.e. solvents that do not dissolve or adversely damage a pre-deposited organic materials layer. The simplest strategy to achieve

More information

FEM Modeling of Shrinkage Effects in Negative Tone Photoresists

FEM Modeling of Shrinkage Effects in Negative Tone Photoresists FEM Modeling of Shrinkage Effects in Negative Tone Photoresists Master s Thesis/Masterarbeit in the field of Computational Engineering by Sean Dominic D Silva Department Informatik Lehrstuhl für Informatik

More information

A Simple Model of Line-Edge Roughness

A Simple Model of Line-Edge Roughness A Simple Model of Line-Edge Roughness Chris A. Mack www.lithoguru.com Abstract A stochastic modeling approach is used to predict the results of the exposure and post-exposure bake of a chemically amplified

More information

EUV Resist-Fundamental Research

EUV Resist-Fundamental Research EUV Resist-Fundamental Research Akinori Saeki, Hiroki Yamamoto, Takahiro Kozawa, and Seiichi Tagawa The Institute of Scientific and Industrial Research, Osaka University CREST, Japan Science and Technology

More information

Monte Carlo simulation and experimental study of stopping power of lithography resist and its application in development of a CMOS/EE process

Monte Carlo simulation and experimental study of stopping power of lithography resist and its application in development of a CMOS/EE process Monte Carlo simulation and experimental study of stopping power of lithography resist and its application in development of a CMOS/EE process Predrag Habaš, Roman Stapor, Alexandre Acovic and Maurice Lobet

More information

Study on Improved Resolution of Thick Film Resist (Verification by Simulation)

Study on Improved Resolution of Thick Film Resist (Verification by Simulation) Study on Improved Resolution of Thick Film Resist (Verification by Simulation) Yoshihisa Sensu, Atsushi Sekiguchi, Yasuhiro Miyake Litho Tech Japan Corporation 2-6-6 Namiki, Kawaguchi, Saitama, 332-0034,

More information

OPSIAL Manual. v Xiaofeng Tan. All Rights Reserved

OPSIAL Manual. v Xiaofeng Tan. All Rights Reserved OPSIAL Manual v1.0 2016 Xiaofeng Tan. All Rights Reserved 1. Introduction... 3 1.1 Spectral Calculator & Fitter (SCF)... 3 1.2 Automated Analyzer (AA)... 3 2. Working Principles and Workflows of OPSIAL...

More information

Current Status of Inorganic Nanoparticle Photoresists

Current Status of Inorganic Nanoparticle Photoresists Prof. Christopher K. ber Department of Materials Science and Engineering, Cornell University, Ithaca NY Current Status of Inorganic Nanoparticle Photoresists Markos Trikeriotis, Marie Krysak, Yeon Sook

More information

Particle Generation during Photoresist Dissolution

Particle Generation during Photoresist Dissolution Particle Generation during Photoresist Dissolution Siddharth Chauhan a, Mark Somervell b, Michael Carcasi b, Steven Scheer b, Roger T. Bonnecaze a, Chris Mack c and C. Grant Willson a a Department of Chemical

More information

A Hybrid Analysis of Ellipsometry Data from Patterned Structures

A Hybrid Analysis of Ellipsometry Data from Patterned Structures A Hybrid Analysis of Ellipsometry Data from Patterned Structures Wei Kong, Hsu-ting Huang, and Fred L. Terry, Jr. Department of Electrical Engineering and Computer Science, University of Michigan, Ann

More information

World-wide Standardization Effort on Leaching Measurement Methodology

World-wide Standardization Effort on Leaching Measurement Methodology World-wide Standardization Effort on Leaching Measurement Methodology Roel Gronheid 1, Christina Baerts 1, Stefan Caporale 2, Jim Alexander 2, Ben Rathsack 3, Steven Scheer 3, Katsumi Ohmori 4, Bryan Rice

More information

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography 1 Reference 1. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (2001) 2. - (2004) 3. Semiconductor Physics and Devices-

More information

Effect of PAG Location on Resists for Next Generation Lithographies

Effect of PAG Location on Resists for Next Generation Lithographies Effect of PAG Location on Resists for Next Generation Lithographies ber Research Group Materials Science & Engineering Ithaca, NY 14853 Development Trends in Microlithography 10 Contact Printer Architectures

More information

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography February 26, 2009 Shinji Tarutani FUJIFILM Corporation Research & Development Management Headquarters Electronic Materials Research

More information

Tilted ion implantation as a cost-efficient sublithographic

Tilted ion implantation as a cost-efficient sublithographic Tilted ion implantation as a cost-efficient sublithographic patterning technique Sang Wan Kim 1,a), Peng Zheng 1, Kimihiko Kato 1, Leonard Rubin 2, Tsu-Jae King Liu 1 1 Department of Electrical Engineering

More information

1

1 Process methodologies for temporary thin wafer handling solutions By Justin Furse, Technology Strategist, Brewer Science, Inc. Use of temporary bonding/debonding as part of thin wafer handling processes

More information

EUVL Readiness for High Volume Manufacturing

EUVL Readiness for High Volume Manufacturing EUVL Readiness for High Volume Manufacturing Britt Turkot Intel Corporation Outline Exposure Tool Progress Power Availability Intel demo results Reticle Defectivity Pellicle Materials Conclusion 2 Source

More information

Relationships between Stochastic Phenomena and Optical Contrast in Chemically Amplified Resist Process of Extreme Ultraviolet Lithography

Relationships between Stochastic Phenomena and Optical Contrast in Chemically Amplified Resist Process of Extreme Ultraviolet Lithography Journal of Photopolymer Science and Technology Volume 7, Number () 9 SPST Relationships between Stochastic Phenomena and Optical Contrast in Chemically Amplified Resist Process of Extreme Ultraviolet Lithography

More information

Negative Tone Development: Gaining insight through physical simulation

Negative Tone Development: Gaining insight through physical simulation Negative Tone Development: Gaining insight through physical simulation Stewart A. Robertson a, Michael Reilly b, John J. Biafore a, Mark D. Smith a, and Young Bae b. a - KLA-Tencor Corp., PCI Division,

More information

Introduction to Photolithography

Introduction to Photolithography http://www.ichaus.de/news/72 Introduction to Photolithography Photolithography The following slides present an outline of the process by which integrated circuits are made, of which photolithography is

More information

Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems

Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems Seiichi Tagawa The Institute of Scientific and Industrial

More information

Fabrication of micro-optical components in polymer using proton beam micro-machining and modification

Fabrication of micro-optical components in polymer using proton beam micro-machining and modification Nuclear Instruments and Methods in Physics Research B 210 (2003) 250 255 www.elsevier.com/locate/nimb Fabrication of micro-optical components in polymer using proton beam micro-machining and modification

More information

Silicon VLSI Technology. Fundamentals, Practice and Modeling

Silicon VLSI Technology. Fundamentals, Practice and Modeling Text Book: Silicon VLSI Technology Fundamentals, Practice and Modeling Authors: J. D. Plummer, M. D. Deal, and P. B. Griffin Photolithography (Chap. 1) Basic lithography process Apply photoresist Patterned

More information

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press Errata, by Chris Mack, chris@lithoguru.com While teaching out of this book at the University

More information

Photolithography 光刻 Part II: Photoresists

Photolithography 光刻 Part II: Photoresists 微纳光电子材料与器件工艺原理 Photolithography 光刻 Part II: Photoresists Xing Sheng 盛兴 Department of Electronic Engineering Tsinghua University xingsheng@tsinghua.edu.cn 1 Photolithography 光刻胶 负胶 正胶 4 Photolithography

More information

RLS Trade-Off: Questions about Molecular Size and Quantum Yield

RLS Trade-Off: Questions about Molecular Size and Quantum Yield RLS Trade-Off: Questions about Molecular Size and Quantum Yield Robert Brainard and Craig Higgins Supported by SEMATECH and Rohm and Haas 10/2/08 1 Outline I. Introduction II. III. IV. Effect of Molecular

More information

Thin Wafer Handling Challenges and Emerging Solutions

Thin Wafer Handling Challenges and Emerging Solutions 1 Thin Wafer Handling Challenges and Emerging Solutions Dr. Shari Farrens, Mr. Pete Bisson, Mr. Sumant Sood and Mr. James Hermanowski SUSS MicroTec, 228 Suss Drive, Waterbury Center, VT 05655, USA 2 Thin

More information

Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography

Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography Suchit Bhattarai Electrical Engineering and Computer Sciences University of California at Berkeley

More information

Sensors and Metrology. Outline

Sensors and Metrology. Outline Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

Generalized characteristic model for lithography: application to negative chemically amplified resists

Generalized characteristic model for lithography: application to negative chemically amplified resists Generalized characteristic model for lithography: application to negative chemically amplified resists David H. Ziger* Chris A. Mack Romelia Distasio SEMATECH 2706 Montopolis Drive Austin, Texas 78741

More information

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen Lecture 150 Basic IC Processes (10/10/01) Page 1501 LECTURE 150 BASIC IC PROCESSES (READING: TextSec. 2.2) INTRODUCTION Objective The objective of this presentation is: 1.) Introduce the fabrication of

More information

Top down and bottom up fabrication

Top down and bottom up fabrication Lecture 24 Top down and bottom up fabrication Lithography ( lithos stone / graphein to write) City of words lithograph h (Vito Acconci, 1999) 1930 s lithography press Photolithography d 2( NA) NA=numerical

More information

Study of Block Copolymer Lithography using SCFT: New Patterns and Methodology

Study of Block Copolymer Lithography using SCFT: New Patterns and Methodology Study of Block Copolymer Lithography using SCFT: New Patterns and Methodology Su-Mi Hur Glenn Fredrickson Complex Fluids Design Consortium Annual Meeting Monday, February 2, 2009 Materials Research Laboratory

More information

QsT/ Recently, chemically amplified EUV photoresists have been developed which

QsT/ Recently, chemically amplified EUV photoresists have been developed which , i -..,. Polvmer. Effects on Acid Generation Efficiency Using EUV and DUV Exposures - The mbmitkd amnuaaripihas b Paul Dentinger,* Robert L. Brainard,2 Joseph F. Mackevich,2 Jeffrey M. Guevremont? and

More information

Extreme ultraviolet induced chemical reactions in photoresists and model systems

Extreme ultraviolet induced chemical reactions in photoresists and model systems Extreme ultraviolet induced chemical reactions in photoresists and model systems S. Castellanos Advanced Research Center for Nanolithography 2017 EUVL workshop, June 12-15 List of contributors ARCNL: EUV

More information

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center Functional Materials for Advanced Patterning Robert D. Allen Business Unit or Product Name IBM Almaden Research Center 2003 IBM Corporation Resists/Materials for Advanced Patterning Trends in Lithography

More information

Optical Properties of Thin Semiconductor Films

Optical Properties of Thin Semiconductor Films Optical Properties of Thin Semiconductor Films Grolik Benno,KoppJoachim October, 31st 2003 1 Introduction Optical experiments provide a good way of examining the properties of semiconductors. Particulary

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Spring 2009.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Spring 2009. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE143 Professor Ali Javey Spring 2009 Exam 1 Name: SID: Closed book. One sheet of notes is allowed.

More information

Boosting Transport Distances for Molecular Excitons within Photo-excited Metal Organic Framework Films

Boosting Transport Distances for Molecular Excitons within Photo-excited Metal Organic Framework Films Supporting Information Boosting Transport Distances for Molecular Excitons within Photo-excited Metal Organic Framework Films Subhadip Goswami, a Michelle Chen, a Michael R. Wasielewski, a Omar K. Farha,

More information

Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation

Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation G. P. Patsis, A. Tserepi, I. Raptis, N. Glezos, and E. Gogolides a) Institute of Microelectronics,

More information

Non-traditional methods of material properties and defect parameters measurement

Non-traditional methods of material properties and defect parameters measurement Non-traditional methods of material properties and defect parameters measurement Juozas Vaitkus on behalf of a few Vilnius groups Vilnius University, Lithuania Outline: Definition of aims Photoconductivity

More information

Transient Thermal Measurement and Behavior of Integrated Circuits

Transient Thermal Measurement and Behavior of Integrated Circuits Transient Thermal Measurement and Behavior of Integrated Circuits Dustin Kendig¹*, Kazuaki Kazawa 1,2, and Ali Shakouri 2 ¹Microsanj LLC 3287 Kifer Rd, Santa Clara, CA 95051, USA ² Birck Nanotechnology

More information

Electron-beam SAFIER process and its application for magnetic thin-film heads

Electron-beam SAFIER process and its application for magnetic thin-film heads Electron-beam SAFIER process and its application for magnetic thin-film heads XiaoMin Yang, a) Harold Gentile, Andrew Eckert, and Stanko R. Brankovic Seagate Research Center, 1251 Waterfront Place, Pittsburgh,

More information

Film Thickness Measurement System - Principle and Applications -

Film Thickness Measurement System - Principle and Applications - Film Thickness Measurement System - Principle and Applications - 1 - CONTENTS - 1. Thin Films for Application 2. Film Thickness Measurement Requirements 3. Film Thickness Measurement Methods 4. Comparison

More information

Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography

Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography MCROU,!~'O~C ELSEVER Microelectronic Engineering 46 (1999) 173-177 Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography DUV Holographic L. A. Wang*, C. H. Lin and J. H. Chen nstitute

More information

Stochastic modeling of photoresist development in two and three dimensions

Stochastic modeling of photoresist development in two and three dimensions J. Micro/Nanolith. MEMS MOEMS 9(4), 04202 (Oct Dec 200) Stochastic modeling of photoresist development in two and three dimensions Chris A. Mack 605 Watchhill Road Austin, Texas 78703 E-mail: chris@lithoguru.com

More information

Supplementary Information

Supplementary Information Supplementary Information Experimental Section Hybrid Nanoparticle Synthesis The hafnium nanoparticles surface-modified with methacrylic acid (MAA, Sigma Aldrich, 99%), trans 2, 3-dimethylacrylic acid

More information

Process Analytical Technology Diagnosis, Optimization and Monitoring of Chemical Processes

Process Analytical Technology Diagnosis, Optimization and Monitoring of Chemical Processes FRAUNHOFER INSTITUTe FoR Chemical Technology ICT Process Analytical Technology Diagnosis, Optimization and Monitoring of Chemical Processes Process Analytical Technology Diagnosis, Optimization and Monitoring

More information

Single Layer Fluoropolymer Resists for 157 nm Lithography

Single Layer Fluoropolymer Resists for 157 nm Lithography Single Layer Fluoropolymer Resists for 157 nm Lithography M. K. Crawford *, W. B. Farnham, A. E. Feiring, J. Feldman, R. H. French, K. W. Leffew, V. A. Petrov, W. Qiu, F. L. Schadt III, H.V. Tran, R. C.

More information

Next: 193nm Lithography

Next: 193nm Lithography Lecture 16 Chemical Engineering for Micro/Nano Fabrication Next: 193nm Lithography Absorption coefficient [1/µm] Absorption of Photoresist Polymers 2.8 Poly-(4-hydroxystyrene) 2.4 Meta-cresol novolak 2.0

More information

MOCVD Carrier Emissivity and Temperature Uniformity Characterization

MOCVD Carrier Emissivity and Temperature Uniformity Characterization Carrier emissivity and temperature maps reveal carrier micro cracks and emissivity variation that can directly affect thin-film deposition and device performance Introduction and Motivation MOCVD wafer

More information

Kinetic Parameter Identification for a DOC Catalyst Using SGB test and Advanced Optimization Algorithms

Kinetic Parameter Identification for a DOC Catalyst Using SGB test and Advanced Optimization Algorithms Kinetic Parameter Identification for a DOC Catalyst Using SGB test and Advanced Optimization Algorithms Mahsa RAFIGH - Politecnico di Torino Federico MILLO - Politecnico di Torino Paolo FERRERI General

More information

DIFFUSION OF ACID AND ACTIVATION ENERGY OF POSITIVE CHEMICAL AMPLIFICATION RESIST

DIFFUSION OF ACID AND ACTIVATION ENERGY OF POSITIVE CHEMICAL AMPLIFICATION RESIST Journal of Photopolymer Science and Technology Volume 6, Number 4(1993) 505-514 1993TAPJ DIFFUSION OF ACID AND ACTIVATION ENERGY OF POSITIVE CHEMICAL AMPLIFICATION RESIST Koi 7ASAKAWA Toshiba Research

More information

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 2th Annual BACUS Symposium on Photomask Technology, SPIE Vol. 67- and is made available

More information

Carrier Transport by Diffusion

Carrier Transport by Diffusion Carrier Transport by Diffusion Holes diffuse ÒdownÓ the concentration gradient and carry a positive charge --> hole diffusion current has the opposite sign to the gradient in hole concentration dp/dx p(x)

More information

Chemistry 524--Final Exam--Keiderling May 4, :30 -?? pm SES

Chemistry 524--Final Exam--Keiderling May 4, :30 -?? pm SES Chemistry 524--Final Exam--Keiderling May 4, 2011 3:30 -?? pm -- 4286 SES Please answer all questions in the answer book provided. Calculators, rulers, pens and pencils are permitted. No open books or

More information

Fast Non-destructive Optical Measurements of Critical Dimension Uniformity and Linearity on AEI and ASI Phase-shift Masks

Fast Non-destructive Optical Measurements of Critical Dimension Uniformity and Linearity on AEI and ASI Phase-shift Masks Fast Non-destructive Optical Measurements of Critical Dimension Uniformity and Linearity on AI and ASI Phase-shift Masks Alexander Gray University of California at Davis, CA John C. Lam n&k Technology,

More information