Effects of photoresist polymer molecular weight on line-edge roughness and its metrology probed with Monte Carlo simulations

Size: px
Start display at page:

Download "Effects of photoresist polymer molecular weight on line-edge roughness and its metrology probed with Monte Carlo simulations"

Transcription

1 Microelectronic Engineering xxx (2004) xxx xxx Effects of photoresist polymer molecular weight on line-edge roughness and its metrology probed with Monte Carlo simulations G.P. Patsis *, V. Constantoudis, E. Gogolides Institute of Microelectronics (IMEL), NCSR Demokritos, P.O. Box 60228, Aghia Paraskevi, Attiki 15310, Greece Received 9 March 2004; received in revised form 14 May 2004; accepted 3 June 2004 Available online Abstract A fast 2D/3D resist dissolution algorithm based on the critical ionization model is used to quantify line-edge roughness and determine its relation to resist polymer molecular weight, the end-to-end distance and the radius of gyration, keeping acid effects off (i.e., minimal). The algorithm permits also simulations of line-edge roughness metrology by examining the effects of SEM measurement box length. Ó 2004 Elsevier B.V. All rights reserved. Keywords: Line-edge roughness; Resist dissolution; Monte-Carlo simulation; Scaling analysis 1. Introduction * Corresponding author. Tel.: /267; fax: address: gpatsis@imel.demokritos.gr (G.P. Patsis). Understanding the material origins of resist line-edge roughness (LER) is still incomplete. The effect of the lithographic processes (exposure, acid-diffusion, deprotection/cross-linking, and development) is to modulate the inherent resist material roughness and therefore to affect greatly the measured values of LER. The most sited quantity to describe roughness is the r value, i.e. the rootmean square (RMS) of the edge position fluctuations. However, it is becoming clear that the r value alone is not enough to fully quantify all aspects of roughness since it only gives information about variations in the vertical direction. A complete scaling analysis is necessary in order to fully quantify roughness of resist lines, which uses apart from r, the roughness exponent and the correlation length to characterize also the spatial aspects of roughness [1 4]. LER transferred from a patterned photoresist to a gate during the etch process may have a significant effect on the device performance beginning with the 65 nm technology node. Two factors that /$ - see front matter Ó 2004 Elsevier B.V. All rights reserved. doi: /j.mee

2 2 G.P. Patsis et al. / Microelectronic Engineering xxx (2004) xxx xxx make LER a great concern beyond the 90 nm node are: (1) LER does not scale in proportion to the critical dimension (CD), and (2) LER has been shown to increase as film thickness decreases [5]. However, LER does scale down as line edge length sampled decreases [1 4]. A significant challenge for this technology node is the development of a resist process with sufficiently low LER. Not much is known about how LER affects device performance and finally the acceptable amount of LER for a given technology. Work on process modelling showed that there are trade-offs between resist, diffusion, LER, and resolution, and much of the high frequency, high-amplitude roughness can be reduced through appropriate etch and implant diffusion processes [6,7]. The low frequency roughness, on the other hand, is much harder to eliminate. It is noted in [6] that the LER in the photoresist pattern has no impact on device performance as it is only the roughness that gets transferred to the polysilicon gate that maters. However, this is the initial roughness origin and the resist sidewall roughness will serve as a guide for the subsequent roughness transferred during etching and implantation. Any roughness on the photoresist sidewalls perturbs the flux of ions and neutrals to the polysilicon surface and distorts the gate that is formed. The low-frequency components of this roughness are responsible for the transferred roughness on the polysilicon gates. Therefore, one should begin by considering the decrease of resist sidewall roughness if one wants finally to reduce the polysilicon sidewall roughness [8,9]. Many experimental studies have clarified the dependence of LER upon the aerial image contrast, the used lithographic technique, the photoacid diffusion and the development process [10 13]. According to the predictions of the ITRS [14], the acceptable LER (3 r) for the 50 and 30 nm technology nodes should be 3 and 2 nm, respectively. In other words, LER should decrease to the levels of the radius of gyration of a single polymer chain of the kinds of polymers used today in commercial chemically amplified resists. Thus, the molecular nature of the resist film should be considered explicitly in LER simulations. Several resist models try indirectly to implement the molecular level interactions especially during the resist film dissolution process. Among the most representative such models are the critical ionization [15 17] and the percolation view of resist film dissolution [10,12,18 22]. Several other probabilistic like models also find applications [23,24] as well as variants of the percolation dissolution, such as the aggregate extraction dissolution model [25 31]. In this work, a fast algorithm for LER calculation in 2D and 3D is presented based on a modification of the critical ionization model [15 17]. It is implemented and used to predict the sidewall profile of positive tone resists of various molecular weights in terms of critical ionization fraction, (i.e. the fraction of deprotected monomers per chain necessary to consider the chain dissolved), and various polymerization lengths. It takes into account the microscopic processes occurring in the resist film during dissolution and therefore can be used to quantify resist roughness in a Monte Carlo simulation frame. Both 2D and 3D simulations are considered. Our purpose it to study the combined effect of resist material parameters, such as the polymerisation length distribution, the endto-end distance and the radius of gyration, along with the effects of the lithographic processes, especially acid-diffusion and dissolution, on the final measured values of LER. This article is organized as follows: In the second part the dissolution algorithm is described with emphasis on its improvements over the original critical ionization model [15 17]. In the third part, we investigate the effects of the exposure dose, the polymerization length, the acid-diffusion and the depth of measurement along the side-edge, on the obtained value of LER. Finally in the Section 4, by simulating top-down SEM images of resist lines an example of scaling analysis along the resist line-edge is given in terms of the polymerization length and the free volume in the resist matrix. 2. Resist dissolution algorithm The drawback of 3D Monte Carlo simulations based on explicit molecular consideration and not on some kind on coarse graining technique is the required CPU time to dissolve the film, espe-

3 G.P. Patsis et al. / Microelectronic Engineering xxx (2004) xxx xxx 3 cially when large system sizes are simulated. However, dimensions of devices or even microsystems are continuously shrinking and this is in profit of Monte Carlo simulations, because lower system sizes have to be simulated, therefore more detailed interactions can be incorporated in the models. The dissolution algorithm used in this article is part of a general homemade lithography simulation program [18 20,23]. Its general structure is shown qualitatively in Fig. 1. It begins by placing in the created lattice the polymer chains, the photo-acid generator (PAG) molecules, and free volume sites (Fig. 1(a)). Then it performs initiation of the PAG molecules and diffusion of the created acid species (Fig. 1(a and b)). The sites where deprotection occurs are monitored and the fraction of deprotected sites in a polymer chain is recorded. This information is used during the dissolution algorithm, which is based on the concept of critical ionisation. In the dynamic (SLOW) execution of the algorithm, the developer diffusion in the polymer film matrix is simulated explicitly. Ionisations of deprotected sites are monitored and the fraction of ionised monomers per chain is recorded. In a subsequent step all chains in the lattice with ionisation fraction equal or greater than a threshold (the critical ionisation fraction, ) are immediately removed (Fig. 1(b and c)). The final side-edge roughness can be measured (Fig. 1(c)). The drawback of the dynamic dissolution algorithm is its great need in computation time especially in great lattices and 3D simulations. Improvement in speed is necessary in the stage of developer diffusion-monomer ionisation in the resist matrix. Thus, in a quasistatic version (FAST), the dissolution algorithm does not consider developer diffusion and deprotected monomer ionisation explicitly. Instead since the maximum ionisation fraction of each chain is known (i.e., it is equal to its deprotection fraction, acquired in the previous and computationally faster acid-diffusion stage), the algorithm immediately removes these chains with deprotection fraction equal or greater than the critical ionisation fraction, without performing cycles of developer diffusion monomer ionisation and chain removal, until the whole film thickness dissolves. The side profile shown in Fig. 2(a) simulates 36,000 polymer chains placed in a lattice of 50 nm width, 300 nm length and 50 nm height. The average polymerisation length is ÆLæ = 20, taken from a Poisson distribution, and the free volume is 10%. Dissolution is performed with the standard (SLOW, dynamic) critical ionisation model, with critical ionisation fraction of =0.7. No acid diffusion was considered explicitly. Instead, the region from 25 to 50 nm in width was considered as totally deprotected (deprotection fraction DF = 1). To perform this calculation one needs Fig. 1. Qualitative picture of process simulation: (a) material placement in lattice, and exposure; (b) acid-diffusion simulation and creation of deprotection sites. Beginning of development; (c) measurement of edge roughness.

4 4 G.P. Patsis et al. / Microelectronic Engineering xxx (2004) xxx xxx Fig. 2. Simulation lattice. Exposure ranges from 25 to 50 nm in width and in the whole 300 nm length and 50 nm height (square pulse): (a) side resist profile obtained by dissolution with the standard critical ionisation dissolution model (SLOW); (b) side resist profile obtained with the static modified critical ionisation dissolution algorithm (FAST). = CPU sec on a Pentium 4, 2.4 GHz, 1 GB RAM personal computer. In Fig. 2(a) certain problems of not dissolved chains are seen near the bottom, marked by the sharp extensions of material from the average edge position. This is due to dissolution blocking in these regions because of the chain stiffness. The developer is prohibited due to chain immobility to surround and ionise the chains, so dissolution cannot continue. The same simulation but with the modified dissolution algorithm (FAST, quasi-static) is shown in Fig. 2(b). This takes 2 CPU sec and does not suffer from the dissolution blocking of the previous profile. The gain in speed is remarkable, while at the same time the real edge profile is shown without the artificially introduced dissolution blocking of the former algorithm. The difference in the profiles obtained from the FAST (dynamic) and SLOW (quasi-static) dissolution algorithm is quantified in Fig. 3(a) and (b). In Fig. 3(a) the side surface site position distribution is shown as this is obtained from the FAST and SLOW dissolution algorithm, for two values of =0.5 and 0.7. In the case of lower critical ionisation fraction ( =0.5), the distributions obtained from the two algorithms are almost identical. However, as is indicated in the plot legend, the FAST dissolution algorithm produces the profile in 2 s while the slow one in 76. The distribution of side-surface positions of the two profiles seen in Fig. 2 is also shown in Fig. 3(a) for =0.7. Now it is clear that the SLOW dissolution algorithm starts differentiating from the FAST one at higher. Fig. 3(b) is a further comparison plot between the side-surface profiles produced by the two dis- Side Surface Site Fraction D Lattice: 50x300x50 nm 3 -<L>=20 =0.5 FAST ( 2 sec) SLOW (76 sec) =0.7 FAST ( 4 sec) SLOW (321 sec) Side Surface Site Fraction D Lattice: 50x300x50 nm 3 <L>=20 =0.5 = (a) Side Surface Position (b) 1E Difference Between FAST and SLOW Dissolution Algorithm Fig. 3. Quantitative comparison of the side-profiles obtained from FAST and SLOW dissolution algorithm: (a) distribution of sidesurface positions; (b) distribution of difference between the side-surface produced by the FAST and the SLOW dissolution algorithm.

5 G.P. Patsis et al. / Microelectronic Engineering xxx (2004) xxx xxx 5 solution algorithms. It shows the distribution of the difference between FAST and SLOW dissolution algorithm, obtained by subtracting the side surface produced by the SLOW algorithm from the side-surface produced by the FAST one. When = 0.5, the results are almost identical, and the difference is 0 in almost 99% of the surface sites. When =0.7, the coincidence falls to 70%, due to the dissolution blocking problems discussed before. The use of the SLOW dissolution algorithm is however important, because it is the only way to obtain dissolution rate information and surface roughness data during film removal. The FAST dissolution algorithm is good to be used for LER studies. With this algorithm even the 2D simulations obtain a different meaning because now their results resemble with the top-down CD-SEM images of resist lines, and can be used again for LER and CD quantification along with scaling analysis. This will be more thoroughly examined in Section 4. The difference between the current model and the kind of coarse grained percolation dissolution models [10,12,18 22] is in the size of the grains. Its basic unit is the polymer chain monomer, the next level being the connected monomers that form chains, in contrast to the soluble site density model [22] or the percolation model with grain size of the order of magnitude of the acid species diffusion length [10,12]. Also, in our case we explicitly take into account some short of interaction of the developer with the polymer chains with which is in contact and we allow for the rinsing of chains not in contact with the developer but surrounded of dissolvable chains. Thus, the notion of percolation is integrated into our algorithm as well. However, we believe that percolation dissolution where clusters of chains are dissolved when they are completely surrounded by the developer molecules, should be more appropriate for negative tone resists [18 20,23]. Compared with the soluble-site density model of Ocola [22], we believe that our is more general in the following sense. In the former the dissolution rate is determined as a function of the soluble-site density in a region of the resist film. In our case, for example, we could consider instead of the monomers, the whole polymer chains as the basic building blocks of the resist film. Therefore, in regions equal in size to the radius of gyration of the polymer chains, the deprotected site density should also be the soluble site density, and this information could be used to remove faster those chains with greater deprotection fraction, thus differentiating the dissolution rate between unexposed and exposed film and also among regions of the exposed film with varied degrees of deprotection. In addition, in our case it is possible to relate polymer specific characteristics such as the polymerization length distribution, the polydispersity, and the distributions of radius of gyration and end-to-end distance with the finally determined LER. Finally, we make simulations of top-down SEM imaging of resist lines in the same fashion done in [21] but down to monomer size resolution. According to the aggregation extraction development model [25 31], it is the polymer aggregates that remain stack on the sidewalls of the resist film that are responsible for the generation of LER. Suppression of the size of these aggregates will result in LER minimization. This model also belongs in the category of percolation dissolution models. It assumes that the amount of free volume within polymer aggregates determines the dissolution rate. Thus, high molecular weight aggregates that result in low free volume are less soluble and if they are located near the resist sidewall will remain attached there after dissolution of the rest of the exposed pattern. Polymer aggregates formed in linear long chain polymers are due to entanglements. Since we are investigating linear polymer of low polymerization lengths (up to 40 monomers per chain, on the average, in this work), we do not consider this model suitable to perform our simulations with it. From the point of view of resemblance, our dissolution model implements the basic characteristics of the critical ionisation model [15 17]. Its main difference from that is twofold. First, it uses a dynamic memory allocated linked list of monomers, therefore accomplishes very fast search for specific chain locations in the polymer matrix. Second and most important is that it determines just after post-exposure bake the amount of deprotection each polymer chain carries and directly com-

6 6 G.P. Patsis et al. / Microelectronic Engineering xxx (2004) xxx xxx pares it with the critical ionisation fraction threshold. If it is equal or greater, the chain is removed from the list and from the polymer matrix. This is done for all chains in a single cycle and not only for the chains that are in contact with the developer. Thus, the side-edge profile is delivered faster. 3. Results The 3D lattice used extensively in this work had dimensions 50 nm (width) 100 nm (length) 100 nm (height), with the side surface of 100 nm 100 nm. The polymer chains were linear with polymerisation length taken from a Poisson distribution. In order to isolate the effect of material origin of LER, the effect of acid-diffusion roughness modulation could be switched-off or on. This was accomplished by considering ideal exposure conditions, with sharp vertical exposure profile in exactly the desired region of the lattice as is shown in Fig. 1 (in the width-direction from 25 to 50 nm and extending in the whole length and height, thus making all the monomers in these sub-lattice deprotected). Therefore, in our simulations, aerial image contrast AIC=1, meaning that the LER is minimum from the point of view of image formation. Under such AIC conditions, there are also experimental verifications of the fact that LER is minimum. For example in [10] this was observed both for the chemically amplified positive tone UV6 resist as well as for PMMA, and for E-beam, X-ray and EUV lithography. All of shown results, if not mentioned otherwise, are from averages of five simulations with the FAST dissolution algorithm. In several graphs that follow, the results are categorized according to the switching acid-diffusion on and off. When ideal behaviour is mentioned, we mean that acid diffusion is switched off (RD- OFF), and exposure is sharp and exactly deprotects the monomers in the exposure lattice, so the measured LER is solely due to polymer chain characteristics. When acid diffusion is on (RD- ON), the measured LER is the combined behaviour of material and postexposure bake process. In that case the PAG concentration used was 2.5% and all of the PAG molecules are considered initiated, i.e. producing acid species Effect of exposure dose on LER The different exposure doses were simulated through varying the deprotection fraction (DF) in the exposure region, i.e. the ratio of deprotected to total number of monomers in exposure region. Dissolution was performed with the modified critical ionisation dissolution algorithm (FAST, quasi-static) in order to quantify LER, and with the standard one (SLOW, dynamic) in order to quantify surface roughness (SR). In either case, the three r values of roughness are reported, where r is the RMS-value of roughness. The measured LER vs. DF is shown in Fig. 4 for three values of (i.e. polymer dissolution difficulty). Also the contrast curve and surface roughness for the =0.3 case are shown. The bell shaped curve, known experimentally to hold for surface roughness vs exposure dose, seems to hold also in the case of LER. However, in experiments only the portion of the LER-curve, after NT is 0 will actually be measured, because only then the whole side profile has been revealed. In the case shown in Fig. 4, only LER values corresponding to DF P 0.6 could be actually measured experimentally. Lower critical ionisation fraction shift the LER-curve in lower deprotection fractions. Another observation has to do with the maximums of SR and LER. While the SR maximum Roughness (3 sigma) (nm) D NT 26 <L>=10 = LER SR = = = = Deprotection Fraction, DF Fig. 4. LER vs DF for three values of. Average polymerisation length is ÆLæ=10, and ideal exposure conditions. RD- OFF. Normalized Thickness, NT

7 G.P. Patsis et al. / Microelectronic Engineering xxx (2004) xxx xxx 7 is approximately in the region where NT has its steep decrease, the LER maximum is revealed when NT approaches 0. This is expected however, because only then the whole side-edge has been completely revealed. In order to reduce LER higher DFs are required or in terms of diffusion, more post-exposure time and/or higher temperature. The more difficult a polymer is dissolved (i.e. the higher the ) the more the LER vs DF curve shifts to higher DFs (i.e. higher post-exposure bake times and or temperatures) Effect of polymerisation length on LER The material origins of LER is a subject of interesting experimental research in terms of the distribution of polymer molecular weights [32], polymer chain rigidity [33], and polymer chain aggregates [25 31]. Specifically in [33], the effect of polymer structure of LER was investigated experimentally for two conventional negative tone twocomponent resists. The authors observed that low molecular weights and dispersivities reduce LER. Also, the rigidity of the polymer chains was tested and the result was that the more rigid the polymer chain the greater the final LER. This was attributed to the difficulty of chain reorganizing its conformations during dissolution. In order to computationally verify the effect of polymerisation length through its geometrical characteristics (i.e. end-to-end distance and radius of gyration), 3D simulations were performed with the polymerisation length distributions shown in Fig. 5(a). The corresponding polymer end-to-end distance R EE distribution in each polymerisation length is shown in Fig. 5(b) and the corresponding polymer radius of gyration R G distribution in Fig. 5(c). Increasing average polymerisation length resulted in the values of LER, peak R EE and peak R G shown in Fig. 6. In the case of 3D simulations, the region of values of R G is small and between 1.5 nm for ÆLæ= nm for ÆLæ=40 monomers per chain. In the plot of R G vs ÆLæ the values adjacent to each point are the widths of the distribution, showing an increase of the distribution width with the polymerisation length, which is also seen in Fig. 5(c). The same trends with the poly- Chain Fraction (a) Chain Fraction (b) Chain Fraction (c) <L>=10 <L>=20 <L>=30 <L>= <L>=10 Polymerization Length <L>=20 <L>=30 <L>= <L>=10 <L>=20 <L>=30 R EE (nm) <L>= R G (nm) Fig. 5. Distribution of: (a) Polymerisation length; (b) R EE ; (c) R G. (3D simulations). Linear polymer chains.

8 8 G.P. Patsis et al. / Microelectronic Engineering xxx (2004) xxx xxx LER (3 sigma) (nm) Peak R 11.0 EE (nm) D D (a) (b) (c) Average Polymerization Length, <L> Peak R G (nm) Fig. 6. (a) LER, (b) R EE, and (c) R G vs average polymerization length. DF=1.0, =0.5 in these simulations merisation length are also followed by R EE, but this distribution shows greater value spectrum and the distribution becomes much more wider with polymerisation length, as is indicated again by the values adjacent to the points or by observing Fig. 5(b). Finally LER increases with polymerisation length while the difference from the nominal edge width (i.e. the 25 nm) is depicted adjacent to the points with the negative sign indicating that average edge position is extending towards smaller positions with the polymerisation length increase (more loss in critical dimension control). Also 2D simulation results are shown in this figure for a lattice of 200 nm length and 200 nm width, with exposure in the width direction from 100 nm till 200 nm (edges like the ones shown in Fig. 9(b) are analyzed). The free volume in this case is 21% in order to keep the analogy with the 10% volume used in the 3D simulations. Ten simulations have been averaged for the 2D results. Higher LER, R EE, and R G values are seen from 2D simulations. This was expected because excluded volume constraints are greater in 2D due to the loss of the 3rd degree of freedom in space. This plot establishes the relation between the polymer chain geometrical characteristics and the resulting LER, because the effect of acid diffusion is switched-off. The simulation if for DF = 1.0. Thus, the idea that shorter polymers or even different molecular architectures that result in small R G s will also result in smaller LER is tested by these simulations. However, in order to get a more clear understanding regarding the resulting LER of chains with the same polymerisation length but different R G s, simulations with chain architectures other than the linear case, exhibiting different geometrical characteristics, should be performed Effect of acid-diffusion on LER It is experimentally known that acid diffusion smoothens out LER in chemically amplified resists but degrades critical dimension control [11]. This effect is simulated next. In a first series of simulations, the acid diffusion was switched-off and the deprotection fraction in exposure lattice was achieved by randomly creating deprotected sites until the desired deprotection fraction is reached. Both LER and the difference Average Edge Position Nominal Edge Position were recorded. The results are shown in Fig. 7. On the upper plot LER shown by the hollow circles replicates the fact also shown in Fig. 3, that of decreasing LER when exposure dose increases. The corresponding difference from the nominal edge position shown on the lower graph by the hollow circles shows a minimal degradation of critical dimension; since it goes away from 0 which is LER ( 3 s igma) ( nm) EdgePosition- N ominal(nm) RD-ON 0 RD-OFF Deprotection Fraction, DF RD-ON RD-OFF Fig. 7. Effect of diffusion range on LER and edge position. LER reduces with increasing DF.

9 G.P. Patsis et al. / Microelectronic Engineering xxx (2004) xxx xxx 9 the ideal case where average-edge- position and nominal one coincide. When acid diffusion is switched on, LER is depicted by the hollow squares and it is seen that in order to decrease LER to comparable values as when diffusion was off, high values of diffusion range are required. The fact that DF now becomes >1.0 is due to the diffusion exceeding deeper in the non-exposed region making more monomers deprotected than these that fill in the initial exposure lattice. The interesting point here is that the corresponding difference from the nominal edge position is far from the accepted (hollow squares). In the case of low LER, the critical dimension is by 5 nm off the nominal, and this in most cases is probably unacceptable. This is the reason that acid diffusion should not be the only process used to smoothen out LER, but also the burden falls on the resist manufactures for better materials. Experimental verification of this result is given in [34]. The authors determined LER in the case of UV6 (positive tone chemically amplified ( acid-diffusion on )) and in PMMA (positive tone compatible resist ( acid-diffusion off )). For AIC>0.8 they observed that PMMA shows LER of 4 nm and UV6 around 6 nm both for isolated lines and dense lines/spaces structures. A detailed discussion on the effects of diffusion will be presented in a future publication (submitted to J. Vac. Sci. Technol. B.) LER change with edge sidewall depth The current state of the art metrology techniques of LER are based on the use of AFM. However, due its limitations, measurement difficulties, and the slow scanning of the side surface, most measurements of LER are performed from top-down images with CD-SEM either in-line or off-line [1 4]. The accuracy of these measurements has been questioned regarding the validity of the 2D image, which is in the best case a projection of the 3D side surface, on the top. Other problems of this technique have to do with the probing depth of the SEM beam, and exactly this is simulated now. In intervals of edge depth of 10 nm increase from top to bottom, the LER quantification is performed on a side surface 100 nm 100 nm representing the profile after dissolution of ÆLæ = 10 chains and ideal exposure conditions (sharp profile, with DF=1.0 in exposure region) with and without acid-diffusion. Twenty simulations were averaged for the next results, shown on Fig. 8. Also the relative error with respect to the whole side-surface sample is recorded. The relative error here is defined as the statistical error of the measurement at a specific depth divided by the statistical error of the whole ( nm 2 ) edgeprofile measurement. The average variation of LER with depth is very small both when no acid diffusion is considered (lower graph) and when acid-diffusion is considered (upper graph). Specifically the change in the LER value with depth is in the second decimal place. In both cases, the relative error of the measurement with the depth shows that probing deeper in edge surface the statistics improves and the deviation from the mean value decreases. However, it must be emphasized that the exposure here is considered as ideal. Also, it should be mentioned that if the SLOW (dynamic) dissolution algorithm is used, an increase of LER with side depth of probing is expected due to the difficulty in dissolving chains near the bottom of the resist side-wall (see Fig. 2(a)). Similar behavior has been experi- LER ( 3 s igma) ( nm) No ACID DIFFUSION (RD-OFF) With ACID DIFFUSION (RD-ON) Edge Depth (nm) Fig. 8. Change in LER with edge depth with and without acid diffusion. In the case of acid-diffusion PAG concentration was 2.5%, and the permitted diffusion steps for each acid site was 100 (i.e. diffusion length of approximately10 nm). It is seen that LER does not vary with depth.

10 10 G.P. Patsis et al. / Microelectronic Engineering xxx (2004) xxx xxx mentally observed in [12] for high values of aerial image contrast (AIC > 0.8). For low values of AIC (<0.6) LER decreases near the bottom of the resist film and is higher near the top. This behavior has been attribute to the longer time the resist is in contact with the developer near the top of the film as well as to acid evaporation from the film surface resulting in less acid concentration and less LER smoothing due to diffusion [12,13] Scaling analysis In a series of articles [1 5], it has been stated that in order to completely characterize LER, not only the RMS value (LER, here) is required but, since line edge shows self-affine behaviour, the roughness exponent and correlation length are required. The three-parameter description of LER can be made in one plot with the height height correlation function, G(r) [3,4]. Also the r vs edge length has been used for such scaling analysis [4]. One of the advantages of the current FAST dissolution simulator is that in 2D the resulting side profile resembles the top-down SEM images. So we proceeded in 2D simulation lattices in order to perform scaling analysis similar to the one performed on experimental edges from image analysis of top-down SEM images of resist lines [3,4]. However, no acid-diffusion was considered now, in order to investigate just the roughness characteristics of only the resist material. Also, in order to study the finite lattice effects in 2D lattices, simulations were performed for edges of length nm by step increase of 100 nm (Fig. 9(b)), and the distributions of R G and R EE, in each lattice were obtained. As is seen in Fig. 9(a) there is no finite size effects for lengths greater than 100 nm. The distributions are essentially unaffected. ÆLæ = 10 and free volume was 10% in these simulations. Performing scaling analysis on the longest edges (1000 nm) for ÆLæ = 10, 30 and free volume V F =10%, 20% consisted in monitoring the LER value on different length scales and averaging all of the same length sub-edges until the whole edge reconstructed. The results are shown in Fig. 10 and demonstrate the increase of LER with length up to about a few hundred nm. Increasing free volume increased the final value of LER. Also increasing the polymerization length, as is already seen, increased LER. It is interesting however, to observe that under 10 nm edge lengths the LER values are on the same curve irrespective of polymerization length and free volume. Finally, as is seen from this graph, in order to be certain about the measured value of LER, the edge length used for the measurement should be at least over 100 nm so as the measurement is performed in the saturation region of the curves. Chain Fraction R G R EE 100nm 200nm 300nm 400nm 500nm 600nm 700nm 800nm 900nm 1000nm R EE,R G (nm) (a) Edge Length (nm) (b) Fig. 9. (a) R EE and R G distributions for increasing edge length. (b) A sample view of the edges used for 2D scaling analysis.

11 G.P. Patsis et al. / Microelectronic Engineering xxx (2004) xxx xxx 11 Finally, LER vs edge length was simulated without acid-diffusion, but for two values of free volume and polymerisation length. It was shown that increasing edge length up to a few hundred nm increases LER but above this length, the value of LER saturates. Increasing free volume and polymerization length also increases LER. Acknowledgements Fig. 10. Scaling analysis of 2D edges for ÆLæ=10, 30, V F =10%, 20% and 1000 nm edge length. 4. Conclusions A fast 2D/3D dissolution simulator is constructed for the prediction of side-profile of resist structures. The bell shaped curve, known experimentally to hold for surface roughness vs exposure dose, seems to hold also in the case of LER. Quantities related to linear polymer chains such as the radius of gyration R G and end-to-end distance R EE, showed direct correlation with the resultant LER. It is verified that smaller R G or polymerisation length corresponds to smaller LER. So the conclusion is to use small R G molecules for the manufacturing of the polymer films used in lithography. Simulations verified that acid-diffusion smoothens out LER but degrades critical dimension control. This is the reason that acid diffusion should not be the only process used to smoothen out LER, but also the burden falls on the resist manufactures for materials exhibiting lower roughness characteristics. Under the ideal conditions of the simulation, there is essentially no variation in the average LER measured near the top or from the entire side surface. However, the relative error of the measurement decreases with probing side-surface. If aerial image differences are taken into account the situation should become worse with larger variations of the relative error for near surface probing compared to whole side probing. The EU project 157nm CRISPIES IST is kindly acknowledged for partial support of this work. An earlier version of this article was presented in SPIE Advances in Resist Technology and Processing February, 2004, Santa Clara, California, USA. References [1] G.P. Patsis, V. Constantoudis, A. Tserepi, E. Gogolides, G. Grozev, T. Hoffmann, Microelectron. Eng (2003) 319. [2] G.P. Patsis, V. Constantoudis, A. Tserepi, E. Gogolides, J. Vac. Sci. Technol. B 21 (3) (2003) [3] V. Constantoudis, G.P. Patsis, A. Tserepi, E. Gogolides, J. Vac. Sci. Technol. B 21 (3) (2003) [4] V. Constantoudis, G.P. Patsis, E. Gogolides, Proc. SPIE 5038 (2003). [5] Eder-Kapl, H. Loeschner, M. Zeininger, O. Kirch, G.P. Patsis, V. Constantoudis, E. Gogolides, Microelectron. Eng. (to appear). [6] B.J. Ryce, H. Cao, M. Chundhok, R. Mealey, Proc. SPIE 5039 (2003) 384. [7] J. Cobb, S. Rauf, A. Thean, S. Dakhina-Murthy, T. Stephens, C. Parker, R. Peters, V. Rao, Proc. SPIE 5039 (2003) 376. [8] S. Xiong, J. Bokor, Q. Xiang, P. Fisher, I. Dudley, P. Rao, Proc. SPIE 4689 (2002) 733. [9] S. Rauf, P.J. Stout, J. Cobb, J. Vac. Sci. Technol. B 21 (2) (2003) 655. [10] J. Shin, G. Han, Y. Ma, K. Molon, F. Cerrina, J. Vac. Sci. Technol. B 19 (6) (2001) [11] M. Yoshizawa, S. Moriya, J. Vac. Sci. Technol. B 20 (2002) [12] Y. Ma, J. Shin, F. Cerrina, J. Vac. Sci. Technol. B 21 (1) (2003) 112. [13] G.W. Reynolds, J.W. Taylor, J. Vac. Sci. Technol. B 17 (1999) 334. [14] Available from: < 2002Update.pdf>.

12 12 G.P. Patsis et al. / Microelectronic Engineering xxx (2004) xxx xxx [15] L.W. Flanagin, V.K. Singh, C.G. Willson, J. Pol. Sci. Part B 37 (1999) [16] S.D. Burns, G.M. Schmid, P.C. Tsiartas, C.G. Willson, J. Vac. Sci. Technol. B 20 (2) (2002) 537. [17] G.M. Schmid, S.D. Burns, P.C. Tsiartas, C.G. Wilson, J. Vac. Sci. Technol. B 20 (6) (2002) [18] G.P. Patsis, N. Glezos, I. Raptis, E.S. Valamontes, J. Vac. Sci. Technol. B 17 (1999) [19] G.P. Patsis, A. Tserepi, I. Raptis, N. Glezos, E. Gogolides, E.S. Valamontes, J. Vac. Sci. Technol. B 18 (2000) [20] G.P. Patsis, E. Gogolides, Microelectron. Eng (2001) 563. [21] L.E. Ocola, P.A. Orphanos, W.-Y. Li, W. Waskeiwicz, A.E. Novembre, M. Sato, J. Vac. Sci. Technol. B 18 (6) (2000) [22] L.E. Ocola, J. Vac. Sci. Technol. B 21 (1) (2003) 156. [23] G.P. Patsis, N. Glezos, E. Gogolides, J. Vac. Sci. Technol. B 21 (2003) 254. [24] R.L. Brainard, P. Trefonas, J.H. Lammers, C.A. Cutler, J.F. Mackevich, A. Trefonas, S.A. Robertsona, Proc. SPIE, Advancements in Resist Technology (2004). [25] T. Yamaguchi, H. Namatsu, M. Nagase, K. Yamazaki, K. Kurihara, Appl. Phys. Lett. 71 (1997) [26] T. Yamaguchi, H. Namatsu, M. Nagase, K. Yamazaki, K. Kurihara, Proc. SPIE 3333 (1998) 830. [27] H. Namatsu, Y. Takahashi, K. Yamazaki, T. Yamaguchi, M. Nagase, K. Kurihara, J. Vac. Sci. Technol. B 16 (1998) 69. [28] T. Yamaguchi, H. Namatsu, M. Nagase, K. Kurihara, Proc. SPIE 3678 (1999) 617. [29] H. Namatsu, T. Yamaguchi, K. Kurihara, Mater. Res. Soc. Symp. Proc. 584 (2000) 135. [30] T. Yamaguchi, H. Namatsu, Proc. SPIE 4690 (2002) 921. [31] T. Yamaguchi, K. Yamazaki, M. Nagase, H. Namatsu, Jpn. J. Appl. Phys. 42 (2003) [32] H. Shiraishi, T. Yoshimura, T. Sakamizu, T. Ueno, S. Okazaki, J. Vac. Sci. Technol. B 12 (1994) [33] T. Yoshimura, H. Shiraishi, J. Yamamoto, S. Okazaki, Jpn. J. Appl. Phys. 32 (1993) [34] Y. Ma, G. Tsvid, F. Cerrina, J. Vac. Sci. Technol. B 21 (6) (2003) 3124.

Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation

Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation G. P. Patsis, A. Tserepi, I. Raptis, N. Glezos, and E. Gogolides a) Institute of Microelectronics,

More information

Simulation and characterization of surface and line edge roughness in photoresists before and after etching

Simulation and characterization of surface and line edge roughness in photoresists before and after etching Simulation and characterization of surface and line edge roughness in photoresists before and after etching Motivation of this work : Sub 100nm lithographic features often suffer from roughness. Need to

More information

Process-Simulation-Flow And Metrology of VLSI Layout Fine- Features

Process-Simulation-Flow And Metrology of VLSI Layout Fine- Features IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 7, Issue 6, Ver. I (Nov.-Dec. 2017), PP 23-28 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Process-Simulation-Flow And Metrology

More information

Roughness characterization in positive and negative resists

Roughness characterization in positive and negative resists Microelectronic Engineering 61 6 (00) 793 801 www.elsevier.com/ locate/ mee Roughness characterization in positive and negative resists * Constantinos D. Diakoumakos, Evangelos S. Valamontes a,b a, a Vassilios

More information

Spatial distribution of reaction products in positive tone chemically amplified resists

Spatial distribution of reaction products in positive tone chemically amplified resists Spatial distribution of reaction products in positive tone chemically amplified resists Gerard M. Schmid and Michael D. Stewart Department of Chemical Engineering, The University of Texas at Austin, Austin,

More information

Surface roughness development during photoresist dissolution

Surface roughness development during photoresist dissolution Surface roughness development during photoresist dissolution Lewis W. Flanagin, a) Vivek K. Singh, b) and C. Grant Willson c) Department of Chemical Engineering, The University of Texas at Austin, Austin,

More information

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Title Spatial scaling metrics of mask-induced induced line-edge roughness Permalink https://escholarship.org/uc/item/5rc666c3

More information

Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation

Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation Microelectronic Engineering 73 74 (2004) 312 318 www.elsevier.com/locate/mee Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation E. Gogolides *, C. Boukouras, G. Kokkoris,

More information

EUV Resist-Fundamental Research

EUV Resist-Fundamental Research EUV Resist-Fundamental Research Akinori Saeki, Hiroki Yamamoto, Takahiro Kozawa, and Seiichi Tagawa The Institute of Scientific and Industrial Research, Osaka University CREST, Japan Science and Technology

More information

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

Methodology of modeling and simulating line-end shortening effects in deep-uv resist Methodology of modeling and simulating line-end shortening effects in deep-uv resist Mosong Cheng*, Ebo Croffie, Andrew Neureuther Electronics Research Laboratory Department of Electrical Engineering and

More information

Electron-beam SAFIER process and its application for magnetic thin-film heads

Electron-beam SAFIER process and its application for magnetic thin-film heads Electron-beam SAFIER process and its application for magnetic thin-film heads XiaoMin Yang, a) Harold Gentile, Andrew Eckert, and Stanko R. Brankovic Seagate Research Center, 1251 Waterfront Place, Pittsburgh,

More information

Relationships between Stochastic Phenomena and Optical Contrast in Chemically Amplified Resist Process of Extreme Ultraviolet Lithography

Relationships between Stochastic Phenomena and Optical Contrast in Chemically Amplified Resist Process of Extreme Ultraviolet Lithography Journal of Photopolymer Science and Technology Volume 7, Number () 9 SPST Relationships between Stochastic Phenomena and Optical Contrast in Chemically Amplified Resist Process of Extreme Ultraviolet Lithography

More information

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations Chapter 2 Process Variability Overview Parameter variability has always been an issue in integrated circuits. However, comparing with the size of devices, it is relatively increasing with technology evolution,

More information

Robust shadow-mask evaporation via lithographically controlled undercut

Robust shadow-mask evaporation via lithographically controlled undercut Robust shadow-mask evaporation via lithographically controlled undercut B. Cord, a C. Dames, and K. K. Berggren Massachusetts Institute of Technology, Cambridge, Massachusetts 02139-4309 J. Aumentado National

More information

Particle Generation during Photoresist Dissolution

Particle Generation during Photoresist Dissolution Particle Generation during Photoresist Dissolution Siddharth Chauhan a, Mark Somervell b, Michael Carcasi b, Steven Scheer b, Roger T. Bonnecaze a, Chris Mack c and C. Grant Willson a a Department of Chemical

More information

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node Takahiro Kozawa and Seiichi Tagawa The Institute of Scientific and Industrial Research, Osaka University, 8-1

More information

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking Improving resist resolution and sensitivity via electric-field enhanced postexposure baking Mosong Cheng, a) Lei Yuan, Ebo Croffie, and Andrew Neureuther Electronics Research Laboratory, University of

More information

Understanding Molecular Level Effects during Post Exposure Processing

Understanding Molecular Level Effects during Post Exposure Processing Understanding Molecular Level Effects during Post Exposure Processing Gerard M. Schmid *a, Mark D. Smith b, Chris A. Mack b, Vivek K. Singh c, Sean D. Burns a, and C. Grant Willson a a The University of

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XIV, SPIE Vol. 3049, pp. 706-711. It

More information

More on Stochastics and the Phenomenon of Line-Edge Roughness

More on Stochastics and the Phenomenon of Line-Edge Roughness More on Stochastics and the Phenomenon of Line-Edge Roughness Chris A. Mack 34 th International Photopolymer Science and Technology Conference Chiba, Japan, June 28, Conclusions We need more than just

More information

Acid Quantum Efficiency of Anion-bound Chemically Amplified Resists upon Exposure to Extreme Ultraviolet Radiation

Acid Quantum Efficiency of Anion-bound Chemically Amplified Resists upon Exposure to Extreme Ultraviolet Radiation Journal of Photopolymer Science and Technology Volume 28, Number 4 (2015) 501 505 2015SPST Acid Quantum Efficiency of Anion-bound Chemically Amplified Resists upon Exposure to Extreme Ultraviolet Radiation

More information

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law Chris A. Mack Lithoguru.com, 1605 Watchhill Rd, Austin, TX 78703 Abstract Moore s Law, the idea that every

More information

Lecture 8. Photoresists and Non-optical Lithography

Lecture 8. Photoresists and Non-optical Lithography Lecture 8 Photoresists and Non-optical Lithography Reading: Chapters 8 and 9 and notes derived from a HIGHLY recommended book by Chris Mack, Fundamental Principles of Optical Lithography. Any serious student

More information

"Enhanced Layer Coverage of Thin Films by Oblique Angle Deposition"

Enhanced Layer Coverage of Thin Films by Oblique Angle Deposition Mater. Res. Soc. Symp. Proc. Vol. 859E 2005 Materials Research Society JJ9.5.1 "Enhanced Layer Coverage of Thin Films by Oblique Angle Deposition" * karabt@rpi.edu Tansel Karabacak *, Gwo-Ching Wang, and

More information

Etching behavior of Si-containing polymers as resist materials for bilayer lithography: The case of poly-dimethyl siloxane

Etching behavior of Si-containing polymers as resist materials for bilayer lithography: The case of poly-dimethyl siloxane Etching behavior of Si-containing polymers as resist materials for bilayer lithography: The case of poly-dimethyl siloxane A. Tserepi, a) G. Cordoyiannis, G. P. Patsis, V. Constantoudis, and E. Gogolides

More information

Introduction. Photoresist : Type: Structure:

Introduction. Photoresist : Type: Structure: Photoresist SEM images of the morphologies of meso structures and nanopatterns on (a) a positively nanopatterned silicon mold, and (b) a negatively nanopatterned silicon mold. Introduction Photoresist

More information

RLS Trade-Off: Questions about Molecular Size and Quantum Yield

RLS Trade-Off: Questions about Molecular Size and Quantum Yield RLS Trade-Off: Questions about Molecular Size and Quantum Yield Robert Brainard and Craig Higgins Supported by SEMATECH and Rohm and Haas 10/2/08 1 Outline I. Introduction II. III. IV. Effect of Molecular

More information

Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems

Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems Seiichi Tagawa The Institute of Scientific and Industrial

More information

A Simple Model of Line-Edge Roughness

A Simple Model of Line-Edge Roughness A Simple Model of Line-Edge Roughness Chris A. Mack www.lithoguru.com Abstract A stochastic modeling approach is used to predict the results of the exposure and post-exposure bake of a chemically amplified

More information

Photoresist etch resistance enhancement using novel polycarbocyclic derivatives as additives

Photoresist etch resistance enhancement using novel polycarbocyclic derivatives as additives Photoresist etch resistance enhancement using novel polycarbocyclic derivatives as additives Evangelos Gogolides and Panagiotis Argitis Institute of Microelectronics, NCSR Demokritos, Aghia Paraskevi 15310,

More information

Sensors and Metrology. Outline

Sensors and Metrology. Outline Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

Next: 193nm Lithography

Next: 193nm Lithography Lecture 16 Chemical Engineering for Micro/Nano Fabrication Next: 193nm Lithography Absorption coefficient [1/µm] Absorption of Photoresist Polymers 2.8 Poly-(4-hydroxystyrene) 2.4 Meta-cresol novolak 2.0

More information

Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography

Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography MCROU,!~'O~C ELSEVER Microelectronic Engineering 46 (1999) 173-177 Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography DUV Holographic L. A. Wang*, C. H. Lin and J. H. Chen nstitute

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Photomask and X-Ray Mask Technology VII SPIE Vol. 466, pp. 172-179. It is made available

More information

Nano and micro Hall-effect sensors for room-temperature scanning hall probe microscopy

Nano and micro Hall-effect sensors for room-temperature scanning hall probe microscopy Microelectronic Engineering 73 74 (2004) 524 528 www.elsevier.com/locate/mee Nano and micro Hall-effect sensors for room-temperature scanning hall probe microscopy A. Sandhu a, *, A. Okamoto b, I. Shibasaki

More information

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists INTERFACE '95 This paper was published in the proceedings of the OCG Microlithography Seminar, Interface '95, pp. 217-228. It is made available as an electronic reprint with permission of OCG Microelectronic

More information

Formation of unintentional dots in small Si nanostructures

Formation of unintentional dots in small Si nanostructures Superlattices and Microstructures, Vol. 28, No. 5/6, 2000 doi:10.1006/spmi.2000.0942 Available online at http://www.idealibrary.com on Formation of unintentional dots in small Si nanostructures L. P. ROKHINSON,

More information

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications D. Tsoukalas, S. Kolliopoulou, P. Dimitrakis, P. Normand Institute of Microelectronics, NCSR Demokritos, Athens, Greece S. Paul,

More information

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media.

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media. originates from the limited number of options regarding orthogonal solvents, i.e. solvents that do not dissolve or adversely damage a pre-deposited organic materials layer. The simplest strategy to achieve

More information

Van der Waals Interaction between Polymer Aggregates and Substrate Surface Analyzed by Atomic Force Microscope (AFM)

Van der Waals Interaction between Polymer Aggregates and Substrate Surface Analyzed by Atomic Force Microscope (AFM) Journal of Photopolymer Science and Technology Volume 15,Number 1(2002)127-132 2002TAPJ L Van der Waals Interaction between Polymer Aggregates and Substrate Surface Analyzed by Atomic Force Microscope

More information

MSN551 LITHOGRAPHY II

MSN551 LITHOGRAPHY II MSN551 Introduction to Micro and Nano Fabrication LITHOGRAPHY II E-Beam, Focused Ion Beam and Soft Lithography Why need electron beam lithography? Smaller features are required By electronics industry:

More information

Wafer Charging in Process Equipment and its Relationship to GMR Heads Charging Damage

Wafer Charging in Process Equipment and its Relationship to GMR Heads Charging Damage Wafer Charging in Process Equipment and its Relationship to GMR Heads Charging Damage Wes Lukaszek Wafer Charging Monitors, Inc. 127 Marine Road, Woodside, CA 94062 tel.: (650) 851-9313, fax.: (650) 851-2252,

More information

Line Edge Roughness, part 2

Line Edge Roughness, part 2 Tutor57D.doc: Version 3/19/07 Line Edge Roughness, part T h e L i t h o g r a p h y E x p e r t (ay 007) In the last edition of this column [1], I began the difficult process of trying to understand the

More information

Fabrication of micro-optical components in polymer using proton beam micro-machining and modification

Fabrication of micro-optical components in polymer using proton beam micro-machining and modification Nuclear Instruments and Methods in Physics Research B 210 (2003) 250 255 www.elsevier.com/locate/nimb Fabrication of micro-optical components in polymer using proton beam micro-machining and modification

More information

Pattern Collapse. T h e L i t h o g r a p h y E x p e r t (November 2006) Chris A. Mack, Austin, Texas

Pattern Collapse. T h e L i t h o g r a p h y E x p e r t (November 2006) Chris A. Mack, Austin, Texas Tutor55.doc: Version 8/10/06 T h e L i t h o g r a p h y E x p e r t (November 2006) Pattern Collapse Chris A. Mack, Austin, Texas Not long ago, defining what an acceptable resist profile looked like was

More information

Vassilios Constantoudis 1.2, Vijayakumar M. Kuppuswamy 1, Evangelos Gogolides 1.2

Vassilios Constantoudis 1.2, Vijayakumar M. Kuppuswamy 1, Evangelos Gogolides 1.2 1 Vassilios Constantoudis 1.2, Vijayakumar M. Kuppuswamy 1, Evangelos Gogolides 1.2 1 Institute of Nanoscience and Nanotechnology, NCSR Demokritos, Greece 2 Nanometrisis Co. Hari Pathangi Sriraman, Roel

More information

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Lithography for Semiconductor Manufacturing SPIE Vol. 3741, pp. 148-160. It is made

More information

Resist material for negative tone development process

Resist material for negative tone development process Resist material for negative tone development process FUJIFILM Corporation Electronic Materials Research Laboratories P-1 Outline 1. Advantages of negative tone imaging for DP 2. Process maturity of negative

More information

Dry thermal development of negative electron beam resist polystyrene

Dry thermal development of negative electron beam resist polystyrene Advances in Nano Research, Vol. 1, No. 2 (2013) 105-109 DOI: http://dx.doi.org/10.12989/anr.2013.1.2.105 105 Dry thermal development of negative electron beam resist polystyrene Celal Con, Arwa Saud Abbas

More information

A Parameter Extraction Framework for DUV Lithography Simulation

A Parameter Extraction Framework for DUV Lithography Simulation A Parameter Extraction Framework for DUV Lithography Simulation Nickhil Jakatdar 1, Junwei Bao, Costas J. Spanos Dept. of Electrical Engineering and Computer Sciences, University of California at Berkeley,

More information

Direct write electron beam patterning of DNA complex thin films

Direct write electron beam patterning of DNA complex thin films Direct write electron beam patterning of DNA complex thin films R. A. Jones, W. X. Li, H. Spaeth, and A. J. Steckl a Nanoelectronics Laboratory, University of Cincinnati, Cincinnati, Ohio 45221-0030 Received

More information

DUV Positive Photoresists

DUV Positive Photoresists Journal of Photopolymer Science and Technology Volume 5, Number 1(1992) 207-216 Factors Affecting the Performance of Chemically DUV Positive Photoresists Amplified James W. Thackeray, Theodore H. Fedynyshyn,

More information

Supplementary Information:

Supplementary Information: Supplementary Figures Supplementary Information: a b 1 2 3 0 ΔZ (pm) 66 Supplementary Figure 1. Xe adsorbed on a Cu(111) surface. (a) Scanning tunnelling microscopy (STM) topography of Xe layer adsorbed

More information

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XVI, SPIE Vol. 3678, pp. 1-1011. It

More information

Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation

Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation Study of Deprotection Reaction during Exposure in hemically Amplified Resists for Lithography Simulation Yasuhiro Miyake, Mariko Isono and Atsushi Sekiguchi Litho Tech Japan orporation, 2-6-6-201, Namiki,

More information

Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X

Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X Scattering Ronald L. Jones, Wen-li Wu, Eric K. Lin NIST Polymers Division, Gaithersburg,

More information

The Effect of Electrostatic Surface Charges on Photoresist Dissolution

The Effect of Electrostatic Surface Charges on Photoresist Dissolution Sean Burns 4/24/00 Dr. Bonnecaze ChE 385M The Effect of Electrostatic Surface Charges on Photoresist Dissolution Introduction/Motivation It would be very useful and economical to have a fundamental model

More information

Nanoimprint Lithography

Nanoimprint Lithography Nanoimprint Lithography Wei Wu Quantum Science Research Advanced Studies HP Labs, Hewlett-Packard Email: wei.wu@hp.com Outline Background Nanoimprint lithography Thermal based UV-based Applications based

More information

EUVL Readiness for High Volume Manufacturing

EUVL Readiness for High Volume Manufacturing EUVL Readiness for High Volume Manufacturing Britt Turkot Intel Corporation Outline Exposure Tool Progress Power Availability Intel demo results Reticle Defectivity Pellicle Materials Conclusion 2 Source

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 5 MICRO AND NANOPROCESSING TECHNOLOGIES Introduction Ion lithography X-ray lithography Soft lithography E-beam lithography Concepts and processes Lithography systems Masks and resists Chapt.9.

More information

Study of Shot Noise in EUV Resists through EUV. and E-Beam Comparative LER Analysis

Study of Shot Noise in EUV Resists through EUV. and E-Beam Comparative LER Analysis Study of Shot Noise in EUV Resists through EUV and E-Beam Comparative LER Analysis Suchit Bhattarai a), Andrew R. Neureuther Department of Electrical Engineering and Computer Sciences, UC Berkeley, Berkeley,

More information

Accurate detection of interface between SiO 2 film and Si substrate

Accurate detection of interface between SiO 2 film and Si substrate Applied Surface Science 253 (2007) 5511 5515 www.elsevier.com/locate/apsusc Accurate detection of interface between SiO 2 film and Si substrate H.X. Qian a, W. Zhou a, *, X.M. Li b, J.M. Miao a, L.E.N.

More information

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists Nickhil Jakatdar 1, Junwei Bao, Costas J. Spanos Dept. of Electrical Engineering and Computer Sciences, University

More information

Disordered Structures. Part 2

Disordered Structures. Part 2 Disordered Structures Part 2 Composites and mixtures Consider inhomogeneities on length scales > 10-20 Å Phase separation two (or multi-) phase mixtures Mixtures of particles of different kinds - solids,

More information

We published the text from the next page.

We published the text from the next page. Title:Shedding light on EUV mask inspection Authors:Kazunori Seki, Karen Badger, Emily Gallagher, Toshio Konishi, Gregory McIntyre Publisher:Photomask Japan 2012(SPIE) Citation:Kazunori Seki, Karen Badger,

More information

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center Functional Materials for Advanced Patterning Robert D. Allen Business Unit or Product Name IBM Almaden Research Center 2003 IBM Corporation Resists/Materials for Advanced Patterning Trends in Lithography

More information

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography February 26, 2009 Shinji Tarutani FUJIFILM Corporation Research & Development Management Headquarters Electronic Materials Research

More information

Improvement of the diffraction properties in holographic polymer dispersed liquid crystal bragg gratings q

Improvement of the diffraction properties in holographic polymer dispersed liquid crystal bragg gratings q Optics Communications 218 (2003) 27 32 www.elsevier.com/locate/optcom Improvement of the diffraction properties in holographic polymer dispersed liquid crystal bragg gratings q YanJun Liu a, *, Bin Zhang

More information

Measurement of the role of secondary electrons in EUV resist exposures

Measurement of the role of secondary electrons in EUV resist exposures Measurement of the role of secondary electrons in EUV resist exposures June 12, 213 International Workshop on EUV Lithography Greg Denbeaux a, Justin Torok, a Ryan Del Re, a Henry Herbol, a Sanjana Das,

More information

Mean end-to-end distance of branched polymers

Mean end-to-end distance of branched polymers J. Phys. A: Math. Gen., Vol. 12, No. 9, 1979. Printed in Great Britain LETTER TO THE EDITOR Mean end-to-end distance of branched polymers S Redner Department of Physics, Boston University, Boston, Mass.

More information

CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS

CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS 98 CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS In this chapter, the effect of gate electrode work function variation on DC

More information

EE143 LAB. Professor N Cheung, U.C. Berkeley

EE143 LAB. Professor N Cheung, U.C. Berkeley EE143 LAB 1 1 EE143 Equipment in Cory 218 2 Guidelines for Process Integration * A sequence of Additive and Subtractive steps with lateral patterning Processing Steps Si wafer Watch out for materials compatibility

More information

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by. PROCEEDINGS OF SPIE Alternative Lithographic Technologies VII Douglas J. Resnick Christopher Bencher Editors 23-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by DNS

More information

Nanostructures Fabrication Methods

Nanostructures Fabrication Methods Nanostructures Fabrication Methods bottom-up methods ( atom by atom ) In the bottom-up approach, atoms, molecules and even nanoparticles themselves can be used as the building blocks for the creation of

More information

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies Hans Tuinhout, The Netherlands motivation: from deep submicron digital ULSI parametric spread

More information

Comprehensive model of electron energy deposition*

Comprehensive model of electron energy deposition* Comprehensive model of electron energy deposition* Geng Han, Mumit Khan, Yanghua Fang, and Franco Cerrina a) Electrical and Computer Engineering and Center for NanoTechnology, University of Wisconsin Madison,

More information

A. Optimizing the growth conditions of large-scale graphene films

A. Optimizing the growth conditions of large-scale graphene films 1 A. Optimizing the growth conditions of large-scale graphene films Figure S1. Optical microscope images of graphene films transferred on 300 nm SiO 2 /Si substrates. a, Images of the graphene films grown

More information

Nanotechnology Fabrication Methods.

Nanotechnology Fabrication Methods. Nanotechnology Fabrication Methods. 10 / 05 / 2016 1 Summary: 1.Introduction to Nanotechnology:...3 2.Nanotechnology Fabrication Methods:...5 2.1.Top-down Methods:...7 2.2.Bottom-up Methods:...16 3.Conclusions:...19

More information

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks Hongseong Sohn and John Tracy Akrion Systems 6330 Hedgewood Drive, Suite 150 Allentown, PA 18106, USA Abstract

More information

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning SEMI Texas Spring Forum May 21, 2013 Austin, Texas Author / Company / Division / Rev. / Date A smartphone today has

More information

Enhanced Transmission by Periodic Hole. Arrays in Metal Films

Enhanced Transmission by Periodic Hole. Arrays in Metal Films Enhanced Transmission by Periodic Hole Arrays in Metal Films K. Milliman University of Florida July 30, 2008 Abstract Three different square periodic hole arrays were manufactured on a silver film in order

More information

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Photolithography Overview  9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview http://www.intel.com/research/silicon/mooreslaw.htm 1 Moore s law only holds due to photolithography advancements in reducing linewidths 2 All processing to create electric components and circuits rely

More information

Electrostatic effects during dissolution of positive tone photoresists

Electrostatic effects during dissolution of positive tone photoresists Electrostatic effects during dissolution of positive tone photoresists Gerard M. Schmid, a) Sean D. Burns, Pavlos C. Tsiartas, and C. Grant Willson Department of Chemical Engineering, The University of

More information

High sensitivity nanocomposite resist materials for X-ray and EUV Lithography

High sensitivity nanocomposite resist materials for X-ray and EUV Lithography High sensitivity nanocomposite resist materials for X-ray and EUV Lithography Mohammad Azam Au1, Kenneth E. Gonsalves', N. Batina'V. Golovkina3 and F. Cerrina3. 1Department of Chemistry & NanoTech. Research

More information

Optimizing RLS. Seiichi Tagawa. The Institute of Scientific and Industrial Research Osaka University and JST-CREST

Optimizing RLS. Seiichi Tagawa. The Institute of Scientific and Industrial Research Osaka University and JST-CREST Optimizing RLS Seiichi Tagawa The Institute of Scientific and Industrial Research Osaka University and JST-CREST IEUVI Resist TWG October 2, 2008 Acknowledgement Prof. Takahiro Kozawa Dr. Akinori Kozawa

More information

Techniken der Oberflächenphysik (Techniques of Surface Physics)

Techniken der Oberflächenphysik (Techniques of Surface Physics) Techniken der Oberflächenphysik (Techniques of Surface Physics) Prof. Yong Lei & Dr. Yang Xu (& Liying Liang) Fachgebiet 3D-Nanostrukturierung, Institut für Physik Contact: yong.lei@tu-ilmenau.de; yang.xu@tu-ilmenau.de;

More information

SEMATECH Knowledge Series 2010

SEMATECH Knowledge Series 2010 SEMATECH Knowledge Series 2010 Summary of the SEMATECH Workshop on Directed Self Assembly Lloyd Litt SEMATECH/GF Bill Hinsberg - IBM 20 October 2010 Kobe, Japan Copyright 2010 Advanced Materials Research

More information

Development of Lift-off Photoresists with Unique Bottom Profile

Development of Lift-off Photoresists with Unique Bottom Profile Transactions of The Japan Institute of Electronics Packaging Vol. 8, No. 1, 2015 [Technical Paper] Development of Lift-off Photoresists with Unique Bottom Profile Hirokazu Ito, Kouichi Hasegawa, Tomohiro

More information

Direct-Write Deposition Utilizing a Focused Electron Beam

Direct-Write Deposition Utilizing a Focused Electron Beam Direct-Write Deposition Utilizing a Focused Electron Beam M. Fischer, J. Gottsbachner, S. Müller, W. Brezna, and H.D. Wanzenboeck Institute of Solid State Electronics, Vienna University of Technology,

More information

Off-axis unbalanced magnetron sputtering of YBa2Cu307 thin films

Off-axis unbalanced magnetron sputtering of YBa2Cu307 thin films ELSEVIER Materials Chemistry and Physics 49 (1997) 229-233 MATERIALS CHEMISTRYAND PHYSICS Off-axis unbalanced magnetron sputtering of YBa2Cu307 thin films Wen-Chou Tsai, Tseung-Yuen Tseng * Institute of

More information

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. Copyright 001 by e Society of Photo-Optical Instrumentation Engineers. This paper was published in e proceedings of Photomask and X-Ray Mask Technology VIII SPIE Vol. 4409, pp. 194-03. It is made available

More information

Spontaneous Pattern Formation from Focused and Unfocused Ion Beam Irradiation

Spontaneous Pattern Formation from Focused and Unfocused Ion Beam Irradiation Mat. Res. Soc. Symp. Proc. Vol. 696 2002 Materials Research Society Spontaneous Pattern Formation from Focused and Unfocused Ion Beam Irradiation Alexandre Cuenat and Michael J. Aziz Division of Engineering

More information

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials 1 Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials Thorsten Lill, Keren J. Kanarik, Samantha Tan, Meihua Shen, Alex Yoon, Eric Hudson, Yang Pan, Jeffrey Marks, Vahid Vahedi, Richard A. Gottscho

More information

CARBON NANOTUBE-POLYMER COMPOSITES: AN OVERVIEW Brian Grady University of Oklahoma

CARBON NANOTUBE-POLYMER COMPOSITES: AN OVERVIEW Brian Grady University of Oklahoma CARBON NANOTUBE-POLYMER COMPOSITES: AN OVERVIEW Brian Grady University of Oklahoma Abstract Carbon nanotubes are in many ways similar to polymers. Both molecules have contour lengths typically on the order

More information

Manufacturable AlGaAs/GaAs HBT Implant Isolation Process Using Doubly Charged Helium

Manufacturable AlGaAs/GaAs HBT Implant Isolation Process Using Doubly Charged Helium Manufacturable AlGaAs/GaAs HBT Implant Isolation Process Using Doubly Charged Helium ABSTRACT Rainier Lee, Shiban Tiku, and Wanming Sun Conexant Systems 2427 W. Hillcrest Drive Newbury Park, CA 91320 (805)

More information

IC Fabrication Technology

IC Fabrication Technology IC Fabrication Technology * History: 1958-59: J. Kilby, Texas Instruments and R. Noyce, Fairchild * Key Idea: batch fabrication of electronic circuits n entire circuit, say 10 7 transistors and 5 levels

More information

Process window analysis for contact hole shrinking: A simulation study

Process window analysis for contact hole shrinking: A simulation study Process window analysis for contact hole shrinking: A simulation study Andreas Erdmann 1, Przemysław Michalak 1, Mohamed Ismail 1,2, Tim Fühner 1, Maxime Argoud 3, Raluca Tiron 3, Ahmed Gharbi 3, Juan

More information

A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars

A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars Nanoscale Res Lett (2008) 3: 127 DOI 10.1007/s11671-008-9124-6 NANO EXPRESS A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars Wei Wu Æ Dibyendu

More information

Atomic force microscopy study of polypropylene surfaces treated by UV and ozone exposure: modification of morphology and adhesion force

Atomic force microscopy study of polypropylene surfaces treated by UV and ozone exposure: modification of morphology and adhesion force Ž. Applied Surface Science 144 145 1999 627 632 Atomic force microscopy study of polypropylene surfaces treated by UV and ozone exposure: modification of morphology and adhesion force H.-Y. Nie ), M.J.

More information

Analytic estimation and minimization of line edge roughness in electron-beam lithography

Analytic estimation and minimization of line edge roughness in electron-beam lithography Analytic estimation and minimization of line edge roughness in electron-beam lithography ui Guo, Soo-Young Lee, Jin Choi, Sung-Hoon Park, In-Kyun Shin, and Chan-Uk Jeon Citation: Journal of Vacuum Science

More information