Accurate detection of interface between SiO 2 film and Si substrate

Size: px
Start display at page:

Download "Accurate detection of interface between SiO 2 film and Si substrate"

Transcription

1 Applied Surface Science 253 (2007) Accurate detection of interface between SiO 2 film and Si substrate H.X. Qian a, W. Zhou a, *, X.M. Li b, J.M. Miao a, L.E.N. Lim a a Precision Engineering and Nanotechnology Centre, School of Mechanical and Aerospace Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore , Singapore b WinTech Nano-Technology Services Pte. Ltd., 371 Beach Road, Singapore , Singapore Received 1 March 2006; received in revised form 20 September 2006; accepted 19 December 2006 Available online 23 January 2007 Abstract Accurate end point detection of interface for multilayers using focused ion beam (FIB) is important in nanofabrication and IC modification. Real-time end point graph shows sample absorbed current as a function of sputtering time during FIB milling process. It is found that sample absorbed current increases linearly with ion beam current for the same material and changes when ion beam is milling through a different material. Investigation by atomic force microscope (AFM) and FIB cross-sectioning shows that accurate SiO 2 /Si interface occurs to where the maximum sample absorbed current occurs. Since sample absorbed current can be real-time monitored in focused ion beam machine, the paper provides a viable and simple method for accurately determining the interface during FIB milling process for widely used SiO 2 /Si system. # 2007 Elsevier B.V. All rights reserved. PACS : Rf; Wk; Dz; Pb Keywords: Focused ion beam; End point; Sample absorbed current; Interface 1. Introduction Focused ion beam (FIB) is widely used in mask repairing and IC editing [1]. Ever-increasing applications of FIB in the field of nanomachining or nanopatterning require the ability to reliably and accurately detect the interface between different materials. Sample absorbed current, secondary electron and secondary ion signals that are material dependent can provide indications of end point [2 6]. However, exact end point is sometimes not easy to identify since transition from one layer to the other usually does not show any abrupt change of characteristic signals [4,7]; therefore, only rough monitoring of milling to just reach the interface can be achieved. In the study, sample absorbed current was used to provide an indication of end point. The relationship between ion beam current and sample absorbed current was investigated. The SiO 2 /Si interface was determined accurately from FIB end point graph, measurements by atomic force microscope (AFM) and FIB cross-sectioning. It is found that accurate interface * Corresponding author. Tel.: ; fax: address: WZhou@Cantab.Net (W. Zhou). occurs when the largest sample absorbed current is achieved during the milling process. 2. Experiment The 30 kev focused Ga + ions (FEI Quanta 3D) were used to irradiate SiO 2 films with various thicknesses on Si substrate. FIB dwell time and ion off-normal incident angle were fixed at 1 ms and 08, respectively. Various ion doses were used so that FIB milling can stop at various locations (i.e., within SiO 2 film, Si and the interface between the two materials). After FIB irradiation, optical microscope was used to observe the surface. The sputtering depth for different irradiation areas was characterized using atomic force microscope. In order to reveal the relationship between sputtering depth and film thickness directly, FIB sectioning was carried out. Pt strap with around 15 mm(l) 3 mm(w) 400 nm(h) in dimensions was first deposited over the milled area and surrounding unirradiated area to avoid charging and protect the top surface from sputtering. Regular cross-section and cleaning cross-section were carried out sequentially. Sectioned area was then titled and viewed with 30 pa FIB current at 458 incidence angle. Fig. 1 shows the tilted view of cross-section. Different /$ see front matter # 2007 Elsevier B.V. All rights reserved. doi: /j.apsusc

2 5512 H.X. Qian et al. / Applied Surface Science 253 (2007) Fig. 1. Schematic image showing how cross-section is prepared by focused ion beam. The areas within circles can clearly reveals the relationship between sputtering depth and film thickness. layers of materials can be clearly identified due to good material contrast in the ion-induced secondary electron image. The thickness of SiO 2 film and sputtering depth can be measured directly from the cross-section view by compensating the tilt angle. 3. Results and discussion The sample absorbed current was monitored continuously during FIB milling by connecting sample to an electrometer amplifier. End point detection is available through a sample current graph and a real-time monitor. Fig. 2 shows the end point graphs for areas irradiated with different ion beam currents. The vertical axis of the end point graph represents the sample absorbed current in the unit of pa and the horizontal axis represents the sputtering time in the unit of second. Little variation of ion beam current or uneven distribution of chemical composition may account for the noise of the curve. It is clearly shown that sample absorbed current increases with ion beam current used for ion milling by comparing the four graphs in Fig. 2. The quantitative relationship between sample absorbed current and ion beam current for different materials is plotted in Fig. 3. Sample absorbed current roughly follows a linear relationship with ion beam current for the same material. For different materials, semiconductor (Si) produces larger sample absorbed current than insulator (SiO 2 ) under the same irradiation condition. Sample absorbed current signal includes information from all the charged particles generated during milling process [2]: I sample ¼ I Ib þ I Se I Si þ (1) where I sample is the sample absorbed current, I Ib the incident ion beam current, I Se the current formed by ion-induced secondary electrons and I Si þ the current formed by ion-induced secondary ions. If we assume I Se ¼ K e I Ib (K e is material dependent) and I Si þ ¼ K i I Ib (K i is material dependent), then for the same material, sample absorbed current can be derived as I sample ¼ I Ib ð1 þ K e K i Þ (2) Fig. 2. End point graph showing sample absorbed current (in pa) as a function of sputtering time (in second) for films with 100 nm in thickness irradiated with the same ion dose of ions/cm 2 using different ion beam currents. (a) 30 pa; (b) 50 pa; (c) 100 pa; (d) 300 pa.

3 H.X. Qian et al. / Applied Surface Science 253 (2007) Fig. 3. Correlation between sample absorbed current and ion beam current for SiO 2 and Si, respectively. For ion milling carried out with very high ion beam current, change of absorbed current tends to be neglected due to short sputtering time, while ion milling with too small ion current will be time-consuming and the end point graph will be noisy due to low S/N ratio. Therefore, the selection of ion beam current for FIB milling is important for accurate end point monitoring. Fig. 4(a) shows areas of 10 mm 10 mm in size in SiO 2 film irradiated with ion doses varying from to ions/cm 2. Areas are alphabetically labeled to indicate the irradiation dose increases with alphabetical sequence (A, B, C, etc.). Fig. 4(b) shows sample absorbed current as a function of sputtering time. Sample absorbed current and sputtering time for different areas (A, B, C, etc.) are denoted in the end point monitor graph. For short sputtering time (Area A), FIB milling was carried out in SiO 2 film and absorbed current stabilized at 97 pa. When sputtering time was larger than 235 s (Area B), the absorbed current was characterized by a steady rise to the maximum value at sputtering time of 360 s (Area D), followed by a steady fall-off before leveling to a plateau with a constant value of 211 pa. There is color evolution with increasing sputtering time (ion dose) for different irradiated areas. For short sputtering time Fig. 5. (a) AFM image of Area D. (b) AFM line profile showing the sputtering depth of Area D. when SiO 2 was milled (e.g., in Area A), the color of irradiated area is bluish and close to the original film color. For very large sputtering time when Si was milled, the color is shown to be white (e.g., Area G). For sputtering times corresponding to the transition part from low to high stabilized current in Fig. 4(b), the color of irradiated area evolves from black (Areas B and C) through grey (Area D), then to white (Areas E and F), as shown in Fig. 4(a). The unique color for Area D might indicate sputtering time used for milling Area D is the exact interface Fig. 4. (a) Optical image showing color evolution for areas on SiO 2 /Si irradiated with 100 pa over different ion doses. (b) End point graph showing the relationship between sample absorbed current and sputtering time (ion dose).

4 5514 H.X. Qian et al. / Applied Surface Science 253 (2007) point between SiO 2 film and Si substrate. However, color perception is very complicated and dependent on many factors; therefore, color is not an ideal method for a quantitative study. AFM is a suitable tool to correlate sputtering depth to sample absorbed current. All the FIB irradiated areas were measured using AFM to determine the sputtering depth. The color and sputtering depth for different areas are listed in Table 1. Fig. 5 shows AFM measurement of Area D denoted in Fig. 4. The sputtering depth of Area D is 100 nm, equal to the known film thickness, which means the accurate end point at the interface is obtained at the highest sample absorbed current. One more SiO 2 /Si sample with a different thickness is used to further examine whether the accurate interface is exposed just when the highest sample absorbed current is achieved. Fig. 6(a) shows the change of sample absorbed current with increasing sputtering time. Cross-sections of three selected areas labeled as A, B and C are shown in Fig. 6(b d), respectively. Since material with higher average atomic number emits more secondary electrons, Pt strap displays the highest brightness in FIB cross-section images, followed by Si and SiO 2. For Area A at short sputtering time and low absorbed current denoted in Fig. 6(a), cross-section view shown in Fig. 6(b) reveals that only part of SiO 2 film has been milled. When sputtering stops at the point where the highest sample Table 1 Color and sputtering depth for different areas Area ID Color Sputtering depth (nm) A Bluish 26 B Black 60 C Black 70 D Grey 100 E White 110 F White 124 G White 204 absorbed current is achieved (i.e., B denoted in Fig. 6(a)), irradiated surface just reaches the SiO 2 /Si interface, as shown in Fig. 6(c). AFM measurement of Area B shows that the sputtering depth is 480 nm, equal to the film thickness measured from FIB cross-section. For Area C at saturated high absorbed current and long sputtering time, SiO 2 film is completely sputtered away and irradiated surface is deep into the Si substrate, as clearly shown in Fig. 6(d). AFM measurements and FIB cross-sections have shown that SiO 2 /Si interface is exposed when maximum sample absorbed current is reached. However, it should be noted that SiO 2 film subjected to ion beam irradiation cannot possibly be absolutely evenly etched away, so the accurate interface used here means that major films have been etched away. Fig. 6. (a) End point graph showing sample absorbed current as a function of sputtering time for film with a few hundred nanometer in thickness. (b) Cross-section of Area A denoted in (a). (c) Cross-section of Area B denoted in (a). (d) Cross-section of Area C denoted in (a).

5 H.X. Qian et al. / Applied Surface Science 253 (2007) Conclusions It is of significance to have accurate interface detection in IC modification and nanofabrication. The accurate SiO 2 /Si interface has been investigated using end point graph assisted by AFM measurements and FIB cross-sectioning. It is found that sample absorbed current increases linearly with ion beam current for the same material and changes with material for the same ion beam current used. The accurate SiO 2 /Si interface is achieved when the FIB milling reaches the highest sample absorbed current. Acknowledgment Two of the authors (Zhou and Lim) acknowledge the financial support from A*STAR (Agency for Science, Technology and Research), Singapore, through the Strategic Research Program on nanometrology for sustainable manufacturing growth. References [1] J. Melngailis, J. Vac. Sci. Technol. B 5 (1987) 469. [2] L.R. Harriott, A. Wagner, F. Fritz, J. Vac. Sci. Technol. B 4 (1986) 181. [3] B. Khamsehpour, S.T. Davies, Vacuum 45 (1994) [4] P.J. Heard, J.R.A. Cleaver, H. Ahmed, J. Vac. Sci. Technol. B 3 (1985) 87. [5] R. Hill, J.C. Morgan, R.G. Lee, T. Olson, Microelectron. Eng. 21 (1993) 201. [6] S.T. Davies, B. Khamsehpour, J. Vac. Sci. Technol. B 11 (1993) 263. [7] P.D. Prewett, G.L.R. Mair, Focused Ion Beams from Liquid Metal Ion Sources, Research Studies Press Ltd., England, 1991.

Outlines 3/12/2011. Vacuum Chamber. Inside the sample chamber. Nano-manipulator. Focused ion beam instrument. 1. Other components of FIB instrument

Outlines 3/12/2011. Vacuum Chamber. Inside the sample chamber. Nano-manipulator. Focused ion beam instrument. 1. Other components of FIB instrument Focused ion beam instruments Outlines 1. Other components of FIB instrument 1.a Vacuum chamber 1.b Nanomanipulator 1.c Gas supply for deposition 1.d Detectors 2. Capabilities of FIB instrument Lee Chow

More information

Development of void-free focused ion beam-assisted metal deposition process for subhalf-micrometer high aspect ratio vias

Development of void-free focused ion beam-assisted metal deposition process for subhalf-micrometer high aspect ratio vias Development of void-free focused ion beam-assisted metal deposition process for subhalf-micrometer high aspect ratio vias Valery Ray, a) Nicholas Antoniou, Neil Bassom, Alex Krechmer, and Andrew Saxonis

More information

ORION NanoFab: An Overview of Applications. White Paper

ORION NanoFab: An Overview of Applications. White Paper ORION NanoFab: An Overview of Applications White Paper ORION NanoFab: An Overview of Applications Author: Dr. Bipin Singh Carl Zeiss NTS, LLC, USA Date: September 2012 Introduction With the advancement

More information

Comparative evaluation of protective coatings and focused ion beam chemical vapor deposition processes

Comparative evaluation of protective coatings and focused ion beam chemical vapor deposition processes Comparative evaluation of protective coatings and focused ion beam chemical vapor deposition processes B. W. Kempshall a) and L. A. Giannuzzi Department of Mechanical, Materials, and Aerospace Engineering,

More information

The scanning microbeam PIXE analysis facility at NIRS

The scanning microbeam PIXE analysis facility at NIRS Nuclear Instruments and Methods in Physics Research B 210 (2003) 42 47 www.elsevier.com/locate/nimb The scanning microbeam PIXE analysis facility at NIRS Hitoshi Imaseki a, *, Masae Yukawa a, Frank Watt

More information

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur Nova 600 NanoLab Dual beam Focused Ion Beam system @ IITKanpur Dual Beam Nova 600 Nano Lab From FEI company (Dual Beam = SEM + FIB) SEM: The Electron Beam for SEM Field Emission Electron Gun Energy : 500

More information

Vapor-Phase Cutting of Carbon Nanotubes Using a Nanomanipulator Platform

Vapor-Phase Cutting of Carbon Nanotubes Using a Nanomanipulator Platform Vapor-Phase Cutting of Carbon Nanotubes Using a Nanomanipulator Platform MS&T 10, October 18, 2010 Vladimir Mancevski, President and CTO, Xidex Corporation Philip D. Rack, Professor, The University of

More information

nmos IC Design Report Module: EEE 112

nmos IC Design Report Module: EEE 112 nmos IC Design Report Author: 1302509 Zhao Ruimin Module: EEE 112 Lecturer: Date: Dr.Zhao Ce Zhou June/5/2015 Abstract This lab intended to train the experimental skills of the layout designing of the

More information

Focused Ion Beam Induced Local Tungsten Deposition

Focused Ion Beam Induced Local Tungsten Deposition Focused Ion Beam Induced Local Tungsten Deposition H. Langfischer, B. Basnar, E. Bertagnolli Institute for Solid State Electronics, Vienna University of Technology, Floragasse 7, 1040 ien, Austria H. Hutter

More information

Gaetano L Episcopo. Scanning Electron Microscopy Focus Ion Beam and. Pulsed Plasma Deposition

Gaetano L Episcopo. Scanning Electron Microscopy Focus Ion Beam and. Pulsed Plasma Deposition Gaetano L Episcopo Scanning Electron Microscopy Focus Ion Beam and Pulsed Plasma Deposition Hystorical background Scientific discoveries 1897: J. Thomson discovers the electron. 1924: L. de Broglie propose

More information

Improvement of MgO Characteristics Using RF-Plasma Treatment in AC Plasma Display Panel

Improvement of MgO Characteristics Using RF-Plasma Treatment in AC Plasma Display Panel Mol. Cryst. Liq. Cryst., Vol. 531: pp. 73=[373] 81=[381], 2010 Copyright # Taylor & Francis Group, LLC ISSN: 1542-1406 print=1563-5287 online DOI: 10.1080/15421406.2010.499331 Improvement of MgO Characteristics

More information

Direct-Write Deposition Utilizing a Focused Electron Beam

Direct-Write Deposition Utilizing a Focused Electron Beam Direct-Write Deposition Utilizing a Focused Electron Beam M. Fischer, J. Gottsbachner, S. Müller, W. Brezna, and H.D. Wanzenboeck Institute of Solid State Electronics, Vienna University of Technology,

More information

This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore.

This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore. This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore. Title Focused Ion Beam Assisted Interface Detection for Fabricating Functional Plasmonic Nanostructures Author(s)

More information

Deformation of solder joint under current stressing and numerical simulation II

Deformation of solder joint under current stressing and numerical simulation II International Journal of Solids and Structures 41 (2004) 4959 4973 www.elsevier.com/locate/ijsolstr Deformation of solder joint under current stressing and numerical simulation II Hua Ye *, Cemal Basaran,

More information

Nanomachining by focused ion beam

Nanomachining by focused ion beam Nanomachining by focused ion beam Thesis Horváth Enikő Supervisor: Dr. Tóth Attila Lajos Consultant: Dr. Kocsányi László RESEARCH INSTITUTE FOR TECHNICAL PHYSICS AND MATERIALS SCIENCE Budapest 2009 Outline

More information

Focused-ion-beam milling based nanostencil mask fabrication for spin transfer torque studies. Güntherodt

Focused-ion-beam milling based nanostencil mask fabrication for spin transfer torque studies. Güntherodt Focused-ion-beam milling based nanostencil mask fabrication for spin transfer torque studies B. Özyilmaz a, G. Richter, N. Müsgens, M. Fraune, M. Hawraneck, B. Beschoten b, and G. Güntherodt Physikalisches

More information

Determination of the possible magnitude of the charging effect in a SCALPEL mask membrane

Determination of the possible magnitude of the charging effect in a SCALPEL mask membrane Determination of the possible magnitude of the charging effect in a SCALPEL mask membrane M. M. Mkrtchyan, a) A. S. Gasparyan, K. A. Mkhoyan, J. A. Liddle, and A. E. Novembre Bell Laboratories of Lucent

More information

ION SOURCES FOR NANOFABRICATION AND HIGH RESOLUTION LITHOGRAPHY

ION SOURCES FOR NANOFABRICATION AND HIGH RESOLUTION LITHOGRAPHY ON SOURCES FOR NANOFABRCATON AND HGH RESOLUTON LTHOGRAPHY J. Melngailis,* University of Maryland, College Park, MD 20742-3511, USA Abstract on sources that are used to produce nanometer resolution patterns

More information

MEMS Metrology. Prof. Tianhong Cui ME 8254

MEMS Metrology. Prof. Tianhong Cui ME 8254 MEMS Metrology Prof. Tianhong Cui ME 8254 What is metrology? Metrology It is the science of weights and measures Refers primarily to the measurements of length, weight, time, etc. Mensuration- A branch

More information

Ion Implantation ECE723

Ion Implantation ECE723 Ion Implantation Topic covered: Process and Advantages of Ion Implantation Ion Distribution and Removal of Lattice Damage Simulation of Ion Implantation Range of Implanted Ions Ion Implantation is the

More information

FIB Etching and Deposition on Nanoscale Studied by TEM and Numerical Modeling

FIB Etching and Deposition on Nanoscale Studied by TEM and Numerical Modeling FIB Etching and Deposition on Nanoscale Studied by TEM and Numerical Modeling V. Ray, E. Chang, Sz.C. Liou, K. Toula, and W.A. Chiou vray@umd.edu 8 th FIB/SEM Workshop, John Hopkins APL, Laurel MD Outline

More information

Fabrication of micro-optical components in polymer using proton beam micro-machining and modification

Fabrication of micro-optical components in polymer using proton beam micro-machining and modification Nuclear Instruments and Methods in Physics Research B 210 (2003) 250 255 www.elsevier.com/locate/nimb Fabrication of micro-optical components in polymer using proton beam micro-machining and modification

More information

Fabrication at the nanoscale for nanophotonics

Fabrication at the nanoscale for nanophotonics Fabrication at the nanoscale for nanophotonics Ilya Sychugov, KTH Materials Physics, Kista silicon nanocrystal by electron beam induced deposition lithography Outline of basic nanofabrication methods Devices

More information

Nanotechnology Fabrication Methods.

Nanotechnology Fabrication Methods. Nanotechnology Fabrication Methods. 10 / 05 / 2016 1 Summary: 1.Introduction to Nanotechnology:...3 2.Nanotechnology Fabrication Methods:...5 2.1.Top-down Methods:...7 2.2.Bottom-up Methods:...16 3.Conclusions:...19

More information

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide ARTICLE IN PRESS Journal of Physics and Chemistry of Solids 69 (2008) 555 560 www.elsevier.com/locate/jpcs Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide Jun Wu a,, Ying-Lang

More information

Development and Characterization of High Frequency Bulk Mode Resonators

Development and Characterization of High Frequency Bulk Mode Resonators Excerpt from the Proceedings of the COMSOL Conference 008 Hannover Development and Characterization of High Frequency Bulk Mode Resonators Hossein Pakdast 1*, Zachary James Davis 1 1 DTU Nanotech, Technical

More information

Hiden SIMS Secondary Ion Mass Spectrometers. Analysers for surface, elemental and molecular analysis

Hiden SIMS Secondary Ion Mass Spectrometers. Analysers for surface, elemental and molecular analysis Hiden SIMS Secondary Ion Mass Spectrometers Analysers for surface, elemental and molecular analysis vacuum analysis surface science plasma diagnostics gas analysis SIMS Versatility SIMS is a high sensitivity

More information

We published the text from the next page.

We published the text from the next page. Title:Shedding light on EUV mask inspection Authors:Kazunori Seki, Karen Badger, Emily Gallagher, Toshio Konishi, Gregory McIntyre Publisher:Photomask Japan 2012(SPIE) Citation:Kazunori Seki, Karen Badger,

More information

Surface and Interface Analysis. Investigations of Molecular Depth Profiling with Dual Beam Sputtering. Journal: Surface and Interface Analysis

Surface and Interface Analysis. Investigations of Molecular Depth Profiling with Dual Beam Sputtering. Journal: Surface and Interface Analysis Surface and Interface Analysis Investigations of Molecular Depth Profiling with Dual Beam Sputtering Journal: Surface and Interface Analysis Manuscript ID: Draft Wiley - Manuscript type: SIMS proceedings

More information

Highly charged ion beams applied to fabrication of Nano-scale 3D structures. Sadao MOMOTA Kochi University of Technology

Highly charged ion beams applied to fabrication of Nano-scale 3D structures. Sadao MOMOTA Kochi University of Technology Highly charged ion beams applied to fabrication of Nano-scale 3D structures Sadao MOMOTA Kochi University of Technology Introduction 1 Prospect of microscopic structures 2D Semiconductor 3D Ex. MEMS http://www.rise.waseda.ac.jp/proj/sci/s98s08/j-s98s08.html

More information

High speed focused ion (and electron) beam nanofabrication

High speed focused ion (and electron) beam nanofabrication High speed focused ion (and electron) beam nanofabrication John Melngailis, Department of Electrical and Computer Engineering and Institute for Research in Electronics and Applied Physics University of

More information

Spontaneous Pattern Formation from Focused and Unfocused Ion Beam Irradiation

Spontaneous Pattern Formation from Focused and Unfocused Ion Beam Irradiation Mat. Res. Soc. Symp. Proc. Vol. 696 2002 Materials Research Society Spontaneous Pattern Formation from Focused and Unfocused Ion Beam Irradiation Alexandre Cuenat and Michael J. Aziz Division of Engineering

More information

MSN551 LITHOGRAPHY II

MSN551 LITHOGRAPHY II MSN551 Introduction to Micro and Nano Fabrication LITHOGRAPHY II E-Beam, Focused Ion Beam and Soft Lithography Why need electron beam lithography? Smaller features are required By electronics industry:

More information

Introduction to Photolithography

Introduction to Photolithography http://www.ichaus.de/news/72 Introduction to Photolithography Photolithography The following slides present an outline of the process by which integrated circuits are made, of which photolithography is

More information

Bringing mask repair to the next level

Bringing mask repair to the next level Bringing mask repair to the next level K. Edinger *, K. Wolff, H. Steigerwald, N. Auth, P. Spies, J. Oster, H. Schneider, M. Budach, T. Hofmann, M. Waiblinger Carl Zeiss SMS GmbH - Industriestraße 1, 64380

More information

SURFACE PROCESSING WITH HIGH-ENERGY GAS CLUSTER ION BEAMS

SURFACE PROCESSING WITH HIGH-ENERGY GAS CLUSTER ION BEAMS SURFACE PROCESSING WITH HIGH-ENERGY GAS CLUSTER ION BEAMS Toshio Seki and Jiro Matsuo, Quantum Science and Engineering Center, Kyoto University, Gokasyo, Uji, Kyoto 611-0011, Japan Abstract Gas cluster

More information

Electrostatic charging e ects in fast H interactions with thin Ar

Electrostatic charging e ects in fast H interactions with thin Ar Nuclear Instruments and Methods in Physics Research B 157 (1999) 116±120 www.elsevier.nl/locate/nimb Electrostatic charging e ects in fast H interactions with thin Ar lms D.E. Grosjean a, R.A. Baragiola

More information

Nano and micro Hall-effect sensors for room-temperature scanning hall probe microscopy

Nano and micro Hall-effect sensors for room-temperature scanning hall probe microscopy Microelectronic Engineering 73 74 (2004) 524 528 www.elsevier.com/locate/mee Nano and micro Hall-effect sensors for room-temperature scanning hall probe microscopy A. Sandhu a, *, A. Okamoto b, I. Shibasaki

More information

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial Marks for EUV mask blanks Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial marks are laser scribed on 200 mm wafers to enable defect registration on metrology

More information

Analysis of Poly(dimethylsiloxane) on Solid Surfaces Using Silver Deposition/TOF-SIMS

Analysis of Poly(dimethylsiloxane) on Solid Surfaces Using Silver Deposition/TOF-SIMS Special Issue Surface and Micro-Analysis of Organic Materials 21 Research Report Analysis of Poly(dimethylsiloxane) on Solid Surfaces Using Silver Deposition/TOF-SIMS Masae Inoue, Atsushi Murase Abstract

More information

Supporting Information. Direct n- to p-type Channel Conversion in Monolayer/Few-Layer WS 2 Field-Effect Transistors by Atomic Nitrogen Treatment

Supporting Information. Direct n- to p-type Channel Conversion in Monolayer/Few-Layer WS 2 Field-Effect Transistors by Atomic Nitrogen Treatment Supporting Information Direct n- to p-type Channel Conversion in Monolayer/Few-Layer WS 2 Field-Effect Transistors by Atomic Nitrogen Treatment Baoshan Tang 1,2,, Zhi Gen Yu 3,, Li Huang 4, Jianwei Chai

More information

Tilted ion implantation as a cost-efficient sublithographic

Tilted ion implantation as a cost-efficient sublithographic Tilted ion implantation as a cost-efficient sublithographic patterning technique Sang Wan Kim 1,a), Peng Zheng 1, Kimihiko Kato 1, Leonard Rubin 2, Tsu-Jae King Liu 1 1 Department of Electrical Engineering

More information

Soft X-ray multilayer mirrors by ion assisted sputter deposition

Soft X-ray multilayer mirrors by ion assisted sputter deposition Soft X-ray multilayer mirrors by ion assisted sputter deposition Valentino Rigato INFN Laboratori Nazionali di Legnaro Bologna, September 21, 2010 Source: INFN-LNL-2009 V. RIGATO 1 SIF- Bologna September

More information

Focused Ion Beam Nanofabrication

Focused Ion Beam Nanofabrication Focused Ion Beam / Focused Electron Beam NT II - 2007 Focused Ion Beam Nanofabrication Nanotechnology for Engineers : J. Brugger (LMIS-1) & P. Hoffmann (IOA) Nova 600 NANOLAB (FEI) Dual-Beam Instrument

More information

Single ion implantation for nanoelectronics and the application to biological systems. Iwao Ohdomari Waseda University Tokyo, Japan

Single ion implantation for nanoelectronics and the application to biological systems. Iwao Ohdomari Waseda University Tokyo, Japan Single ion implantation for nanoelectronics and the application to biological systems Iwao Ohdomari Waseda University Tokyo, Japan Contents 1.History of single ion implantation (SII) 2.Novel applications

More information

Wafer Charging in Process Equipment and its Relationship to GMR Heads Charging Damage

Wafer Charging in Process Equipment and its Relationship to GMR Heads Charging Damage Wafer Charging in Process Equipment and its Relationship to GMR Heads Charging Damage Wes Lukaszek Wafer Charging Monitors, Inc. 127 Marine Road, Woodside, CA 94062 tel.: (650) 851-9313, fax.: (650) 851-2252,

More information

TESCAN S New generation of FIB-SEM microscope

TESCAN S New generation of FIB-SEM microscope TESCAN S New generation of FIB-SEM microscope rising standards in sample preparation Key Features SEM COLUMN Versatile system for unlimited applications: resolution imaging (0.9 nm at 15 kev, 1.4 nm at

More information

ABNORMAL X-RAY EMISSION FROM INSULATORS BOMBARDED WITH LOW ENERGY IONS

ABNORMAL X-RAY EMISSION FROM INSULATORS BOMBARDED WITH LOW ENERGY IONS 302 ABNORMAL X-RAY EMISSION FROM INSULATORS BOMBARDED WITH LOW ENERGY IONS M. Song 1, K. Mitsuishi 1, M. Takeguchi 1, K. Furuya 1, R. C. Birtcher 2 1 High Voltage Electron Microscopy Station, National

More information

A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars

A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars Nanoscale Res Lett (2008) 3: 127 DOI 10.1007/s11671-008-9124-6 NANO EXPRESS A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars Wei Wu Æ Dibyendu

More information

Investigation of nanoprecursors threshold distribution in laser-damage testing

Investigation of nanoprecursors threshold distribution in laser-damage testing Optics Communications 256 (25) 184 189 www.elsevier.com/locate/optcom Investigation of nanoprecursors threshold distribution in laser-damage testing H. Krol a,b, *, L. Gallais a, C. Grèzes-Besset b, J.-Y.

More information

Mapping the potential within a nanoscale undoped GaAs region using. a scanning electron microscope

Mapping the potential within a nanoscale undoped GaAs region using. a scanning electron microscope Mapping the potential within a nanoscale undoped GaAs region using a scanning electron microscope B. Kaestner Microelectronics Research Centre, Cavendish Laboratory, University of Cambridge, Madingley

More information

RIPPLE FORMATION ON InP SURFACE IRRADIATED WITH FEMTOSECOND LASER

RIPPLE FORMATION ON InP SURFACE IRRADIATED WITH FEMTOSECOND LASER International Journal of Nanoscience, Vol. 4, No. 4 (2005) 779-784. RIPPLE FORMATION ON InP SURFACE IRRADIATED WITH FEMTOSECOND LASER H.X. QIAN and W. ZHOU * School of Mechanical and Aerospace Engineering,

More information

Chapter 10. Nanometrology. Oxford University Press All rights reserved.

Chapter 10. Nanometrology. Oxford University Press All rights reserved. Chapter 10 Nanometrology Oxford University Press 2013. All rights reserved. 1 Introduction Nanometrology is the science of measurement at the nanoscale level. Figure illustrates where nanoscale stands

More information

An extremity (wrist) dosemeter based on the Landauer InLight TM whole body dosemeter

An extremity (wrist) dosemeter based on the Landauer InLight TM whole body dosemeter An extremity (wrist) dosemeter based on the Landauer InLight TM whole body dosemeter Christopher A Perks *a and Stephane Faugoin a a LANDAUER EUROPE, 33 Avenue du General Leclerc, F-92266, Fontenay-aux-Roses

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

High-Precision Evaluation of Ultra-Shallow Impurity Profiles by Secondary Ion Mass Spectrometry

High-Precision Evaluation of Ultra-Shallow Impurity Profiles by Secondary Ion Mass Spectrometry High-Precision Evaluation of Ultra-Shallow Impurity Profiles by Secondary Ion Mass Spectrometry Yoko Tada Kunihiro Suzuki Yuji Kataoka (Manuscript received December 28, 2009) As complementary metal oxide

More information

Direct simulation Monte Carlo study of effects of thermal nonuniformities in electron-beam physical vapor deposition

Direct simulation Monte Carlo study of effects of thermal nonuniformities in electron-beam physical vapor deposition Purdue University Purdue e-pubs School of Aeronautics and Astronautics Faculty Publications School of Aeronautics and Astronautics 2011 Direct simulation Monte Carlo study of effects of thermal nonuniformities

More information

Precision Cutting and Patterning of Graphene with Helium Ions. 1.School of Engineering and Applied Sciences, Harvard University, Cambridge MA 02138

Precision Cutting and Patterning of Graphene with Helium Ions. 1.School of Engineering and Applied Sciences, Harvard University, Cambridge MA 02138 Precision Cutting and Patterning of Graphene with Helium Ions D.C. Bell 1,2, M.C. Lemme 3, L. A. Stern 4, J.R. Williams 1,3, C. M. Marcus 3 1.School of Engineering and Applied Sciences, Harvard University,

More information

SPUTTER-WIND HEATING IN IONIZED METAL PVD+

SPUTTER-WIND HEATING IN IONIZED METAL PVD+ SPUTTER-WIND HEATING IN IONIZED METAL PVD+ Junqing Lu* and Mark Kushner** *Department of Mechanical and Industrial Engineering **Department of Electrical and Computer Engineering University of Illinois

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

Application of the GD-Profiler 2 to the PV domain

Application of the GD-Profiler 2 to the PV domain Application of the GD-Profiler 2 to the PV domain GD Profiler 2 RF GDOES permits to follow the distribution of the elements as function of depth. This is an ultra fast characterisation technique capable

More information

Bi-directional phase transition of Cu/6H SiC( ) system discovered by positron beam study

Bi-directional phase transition of Cu/6H SiC( ) system discovered by positron beam study Applied Surface Science 194 (2002) 278 282 Bi-directional phase transition of Cu/6H SiC(0 0 0 1) system discovered by positron beam study J.D. Zhang a,*, H.M. Weng b, Y.Y. Shan a, H.M. Ching a, C.D. Beling

More information

Final exam: take-home part

Final exam: take-home part Final exam: take-home part! List five things that can be done to improve this class. Be specific; give much detail.! (You will be penalized only for insulting comments made for no benefit; you will not

More information

FIB - SIMS. Focussed Ion Beam Secondary Ion Mass Spectrometry.

FIB - SIMS. Focussed Ion Beam Secondary Ion Mass Spectrometry. FIB - SIMS Focussed Ion Beam Secondary Ion Mass Spectrometry Outline Introduction to Hiden Analytical Introduction to SIMS FIB-SIMS - Introduction and key features FIB-SIMS - Applications data Hiden SIMS

More information

Electronic structure of transition metal high-k dielectrics: interfacial band offset energies for microelectronic devices

Electronic structure of transition metal high-k dielectrics: interfacial band offset energies for microelectronic devices Applied Surface Science 212 213 (2003) 563 569 Electronic structure of transition metal high-k dielectrics: interfacial band offset energies for microelectronic devices Gerald Lucovsky *, Gilbert B. Rayner

More information

Improvement of depth resolution of VEPAS by a sputtering technique

Improvement of depth resolution of VEPAS by a sputtering technique Martin Luther University Halle Improvement of depth resolution of VEPAS by a sputtering technique R. Krause Rehberg, M. John, R. Böttger, W. Anwand and A. Wagner Martin Luther University Halle & HZDR Dresden

More information

Auger Electron Spectroscopy

Auger Electron Spectroscopy Auger Electron Spectroscopy Auger Electron Spectroscopy is an analytical technique that provides compositional information on the top few monolayers of material. Detect all elements above He Detection

More information

Dual Beam Helios Nanolab 600 and 650

Dual Beam Helios Nanolab 600 and 650 Dual Beam Helios Nanolab 600 and 650 In the Clean Room facilities of the INA LMA, several lithography facilities permit to pattern structures at the micro and nano meter scale and to create devices. In

More information

w w w. o n e r a. f r

w w w. o n e r a. f r w w w. o n e r a. fr SEY properties of dielectric materials, modeling and measurements M. Belhaj ONERA\Centre de Toulouse\DPHY Motivation (@ONERA) Multipactor in RF components -metals and dielectric -Incident

More information

Nanostructures Fabrication Methods

Nanostructures Fabrication Methods Nanostructures Fabrication Methods bottom-up methods ( atom by atom ) In the bottom-up approach, atoms, molecules and even nanoparticles themselves can be used as the building blocks for the creation of

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Today: 1. Introduction E. Bernhard Kley Institute of Applied Physics Friedrich-Schiller

More information

An interfacial investigation of high-dielectric constant material hafnium oxide on Si substrate B

An interfacial investigation of high-dielectric constant material hafnium oxide on Si substrate B Thin Solid Films 488 (2005) 167 172 www.elsevier.com/locate/tsf An interfacial investigation of high-dielectric constant material hafnium oxide on Si substrate B S.C. Chen a, T, J.C. Lou a, C.H. Chien

More information

Control of Optical Properties by the Stepwise Chemical and Plasma Spray Treatment of Polycarbonate

Control of Optical Properties by the Stepwise Chemical and Plasma Spray Treatment of Polycarbonate Appl. Sci. Converg. Technol. 27(6): 135-139 (2018) https://doi.org/10.5757/asct.2018.27.6.135 Research Paper Control of Optical Properties by the Stepwise Chemical and Plasma Spray Treatment of Polycarbonate

More information

Nanopantography: A method for parallel writing of etched and deposited nanopatterns

Nanopantography: A method for parallel writing of etched and deposited nanopatterns Nanopantography: A method for parallel writing of etched and deposited nanopatterns Vincent M. Donnelly 1, Lin Xu 1, Azeem Nasrullah 2, Zhiying Chen 1, Sri C. Vemula 2, Manish Jain 1, Demetre J. Economou

More information

Asymmetrical features of mass spectral peaks produced by quadrupole mass filters

Asymmetrical features of mass spectral peaks produced by quadrupole mass filters RAPID COMMUNICATIONS IN MASS SPECTROMETRY Rapid Commun. Mass Spectrom. 2003; 17: 1051 1055 Published online in Wiley InterScience (www.interscience.wiley.com). DOI: 10.1002/rcm.1017 Asymmetrical features

More information

Check the LCLS Project website to verify 2 of 6 that this is the correct version prior to use.

Check the LCLS Project website to verify 2 of 6 that this is the correct version prior to use. 1. Introduction The XTOD Offset Systems are designed to spatially separate the useful FEL radiation from high-energy spontaneous radiation and Bremsstrahlung γ-rays. These unwanted radiations are generated

More information

Secondary ion mass spectrometry (SIMS)

Secondary ion mass spectrometry (SIMS) Secondary ion mass spectrometry (SIMS) ELEC-L3211 Postgraduate Course in Micro and Nanosciences Department of Micro and Nanosciences Personal motivation and experience on SIMS Offers the possibility to

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 5 MICRO AND NANOPROCESSING TECHNOLOGIES Introduction Ion lithography X-ray lithography Soft lithography E-beam lithography Concepts and processes Lithography systems Masks and resists Chapt.9.

More information

Techniken der Oberflächenphysik (Techniques of Surface Physics)

Techniken der Oberflächenphysik (Techniques of Surface Physics) Techniken der Oberflächenphysik (Techniques of Surface Physics) Prof. Yong Lei & Dr. Yang Xu (& Liying Liang) Fachgebiet 3D-Nanostrukturierung, Institut für Physik Contact: yong.lei@tu-ilmenau.de; yang.xu@tu-ilmenau.de;

More information

A Study of Removing Scan Damage on Advanced ArFPSM Mask by Dry Treatment before Cleaning

A Study of Removing Scan Damage on Advanced ArFPSM Mask by Dry Treatment before Cleaning A Study of Removing Scan Damage on Advanced ArFPSM Mask by Dry Treatment before Cleaning Irene Shi, Eric Guo, Max Lu, Sandy Qian, RivanLi Semiconductor Manufacturing International Corp. 18 ZhangjiangRoad,

More information

Nanoholes for leak metrology

Nanoholes for leak metrology Vacuum Metrology for Industry Nanoholes for leak metrology Università Degli Studi di Genova, Italy OUTLINE INTRODUCTION FABRICATION OF NANOHOLES GEOMETRICAL CHARACTERIZATION LEAK DEVICES RESULTS: PTB INRIM

More information

produced a sputter rate of 0.9 nm/s for the radially profiled, un-etched wires. A slightly

produced a sputter rate of 0.9 nm/s for the radially profiled, un-etched wires. A slightly Supporting Information: Beam Current and Sputtering Rate: Using a 16 kev Cs + primary ion beam and a 1 µm 2 rastered area, a 10 pa beam current produced a sputter rate of 0.9 nm/s for the radially profiled,

More information

Introduction. Photoresist : Type: Structure:

Introduction. Photoresist : Type: Structure: Photoresist SEM images of the morphologies of meso structures and nanopatterns on (a) a positively nanopatterned silicon mold, and (b) a negatively nanopatterned silicon mold. Introduction Photoresist

More information

Supporting Information

Supporting Information Supporting Information Clustered Ribbed-Nanoneedle Structured Copper Surfaces with High- Efficiency Dropwise Condensation Heat Transfer Performance Jie Zhu, Yuting Luo, Jian Tian, Juan Li and Xuefeng Gao*

More information

EUV lithography and Source Technology

EUV lithography and Source Technology EUV lithography and Source Technology History and Present Akira Endo Hilase Project 22. September 2017 EXTATIC, Prague Optical wavelength and EUV (Extreme Ultraviolet) VIS 13.5nm 92eV Characteristics of

More information

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma Running title: The effect of the chamber wall on FC assisted atomic layer etching of

More information

Keywords- Focused Ion Beams, Nanostructuring, Polymers, Functionalization, Electron Microscopy, Surface Modification

Keywords- Focused Ion Beams, Nanostructuring, Polymers, Functionalization, Electron Microscopy, Surface Modification THE USE OF FOCUSED ELECTRON AND ION BEAMS FOR THE FUNCTIONALIZATION AND NANOSTRUCTURING OF POLYMER SURFACES 1 MELTEM SEZEN, 2 FERAY BAKAN 1,2 SUNUM Sabanci University Turkey E-mail: 1 meltemsezen@sabanciuniv.edu,

More information

This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore.

This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore. This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore. Title Author(s) Citation Fiber-optic temperature sensor based on temperaturedependent refractive index of Germanium-silica

More information

A short pulsed laser cleaning system for EUVL tool

A short pulsed laser cleaning system for EUVL tool A short pulsed laser cleaning system for EUVL tool Masami Yonekawa, Hisashi Namba and Tatsuya Hayashi Nanotechnology & Advanced System Research Laboratories, Canon inc. 23-10, Kiyohara-Kogyodanchi, Utsunomiya-shi,

More information

A comparison of molecular dynamic simulations and experimental observations: the sputtering of gold {1 0 0} by 20 kev argon

A comparison of molecular dynamic simulations and experimental observations: the sputtering of gold {1 0 0} by 20 kev argon Applied Surface Science 231 232 (2004) 39 43 A comparison of molecular dynamic simulations and experimental observations: the sputtering of gold {1 0 0} by 20 kev argon C.M. McQuaw *, E.J. Smiley, B.J.

More information

Defense Technical Information Center Compilation Part Notice

Defense Technical Information Center Compilation Part Notice UNCLASSIFIED Defense Technical Information Center Compilation Part Notice ADP013065 TITLE: Two-Dimensional Photonic Crystal Fabrication Using Fullerene Films DISTRIBUTION: Approved for public release,

More information

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities Kavli Workshop for Journalists June 13th, 2007 CNF Cleanroom Activities Seeing nm-sized Objects with an SEM Lab experience: Scanning Electron Microscopy Equipment: Zeiss Supra 55VP Scanning electron microscopes

More information

Investigation of the bonding strength and interface current of p-siõn-gaas wafers bonded by surface activated bonding at room temperature

Investigation of the bonding strength and interface current of p-siõn-gaas wafers bonded by surface activated bonding at room temperature Investigation of the bonding strength and interface current of p-siõn-gaas wafers bonded by surface activated bonding at room temperature M. M. R. Howlader, a) T. Watanabe, and T. Suga Research Center

More information

Wet and Dry Etching. Theory

Wet and Dry Etching. Theory Wet and Dry Etching Theory 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer

More information

Scaling during shadowing growth of isolated nanocolumns

Scaling during shadowing growth of isolated nanocolumns Scaling during shadowing growth of isolated nanocolumns T. Karabacak, J. P. Singh, Y.-P. Zhao, G.-C. Wang, and T.-M. Lu Department of Physics, Applied Physics and Astronomy, Rensselaer Polytechnic Institute,

More information

Table of Content. Mechanical Removing Techniques. Ultrasonic Machining (USM) Sputtering and Focused Ion Beam Milling (FIB)

Table of Content. Mechanical Removing Techniques. Ultrasonic Machining (USM) Sputtering and Focused Ion Beam Milling (FIB) Table of Content Mechanical Removing Techniques Ultrasonic Machining (USM) Sputtering and Focused Ion Beam Milling (FIB) Ultrasonic Machining In ultrasonic machining (USM), also called ultrasonic grinding,

More information

reported that the available simple contact conductance model was expressed as [5][6]: h sum = h solid + h fluid (1) Where h sum, h solid and h fluid a

reported that the available simple contact conductance model was expressed as [5][6]: h sum = h solid + h fluid (1) Where h sum, h solid and h fluid a Multiphysics Simulation of Conjugated Heat Transfer and Electric Field on Application of Electrostatic Chucks (ESCs) Using 3D-2D Model Coupling Kuo-Chan Hsu 1, Chih-Hung Li 1, Jaw-Yen Yang 1,2*, Jian-Zhang

More information

FINE PATTERN ETCHING OF SILICON USING SR-ASSISTED IONIZATION OF CF4 GAS

FINE PATTERN ETCHING OF SILICON USING SR-ASSISTED IONIZATION OF CF4 GAS Technical Paper Journal of Photopolymer Science and Technology Volume 6, Number 4(1993) 617-624 1993TAPJ FINE PATTERN ETCHING OF SILICON USING SR-ASSISTED IONIZATION OF CF4 GAS YASUO NARA, YosHIHto SUGITA,

More information

Comprehensive model of electron energy deposition*

Comprehensive model of electron energy deposition* Comprehensive model of electron energy deposition* Geng Han, Mumit Khan, Yanghua Fang, and Franco Cerrina a) Electrical and Computer Engineering and Center for NanoTechnology, University of Wisconsin Madison,

More information

Revealing High Fidelity of Nanomolding Process by Extracting the Information from AFM Image with Systematic Artifacts

Revealing High Fidelity of Nanomolding Process by Extracting the Information from AFM Image with Systematic Artifacts Revealing High Fidelity of Nanomolding Process by Extracting the Information from AFM Image with Systematic Artifacts Sajal Biring* Department of Electronics Engineering and Organic Electronics Research

More information