Novel Molecular Materials Based on Noria and Double Calixarene (Beryllus) for EB and EUV Resist Systems

Size: px
Start display at page:

Download "Novel Molecular Materials Based on Noria and Double Calixarene (Beryllus) for EB and EUV Resist Systems"

Transcription

1 2010 International Workshop on EUV Lithography Makena Beach Golf Resort June 21-25, 25, 2010, Maui, Hawaii Novel Molecular Materials Based on Noria and Double Calixarene (Beryllus) for EB and EUV Resist Systems T. Nishikubo and H. Kudo Department of Material and Life Chemistry, Faculty of Engineering, Kanagawa University 1

2 Acknowledgement Coworkers: Prof. H. Kudo (Kanagawa University) Prof. T. Yokozawa (Kanagawa University) Dr. N. C. Kasuga (Kanagawa University) Mr. K. Mitani (JSR Co. Ltd.) Miss. R. Hayashi (Hitachi Chemical Co. Ltd.) Mr. D. Watanabe (Shinji Tech Co. Ltd.) Mr. H. Seki (Kanagawa University) Mr. Y. Suyama (Kanagawa University) Ms. M. Jinguji (Kanagawa University) Co-operations: (Evaluation as EB- and EUV-resists) Drs. T. Itani s Group (Selete) Prof. S. Tagawa s Group, saka University Prof. C. K. ber s Group, Cornell University, USA Dr. Shimokawa s Group, JSR Co. Ltd.

3 Contents I. Background of This Research II. Synthesis of Ladder Cyclic Compound Noria and Double Calixarene Compound Beryllus based on DCC System III. Chemical Modification of Noria and Beryllus for EUV-Resists, EB-Resists and Photo-curable Materials IV. Evaluation of Noria and Beryllus Derivatives as EUV-Resists, EB-Resists and Photocurable Materials

4 Typical Example of Chemical Amplified Resists 1. Poly(p -hydroxystyrene)s containing t-bc group have been used as positive-type KrF resists for the production of semiconductor devices CH n C Photoacid generator C(CH 3 ) 3 t-bc group CH H n CH 3 C 2 C H + CH 3 Positive type KrF resists: Limitation of resolution in production line: About 100 nm 1) C. G. Willson, H. Ito, J. M. J. Frechet, T. G. Tessier, F. M. Houlihan, J. Electrochem. Soc., 133, 181(1986). 2. Copolymers containing both adamantane and lactone groups have been used as positive-type ArF resists Positive Type ArF resists: Limitation of resolution in production line: About 45 nm 1) K. Nakano, K. Maeda, S. Iwasa, and E. Hasegawa, Proc. SPIE, 2438, 443 (1995). 2) E. Hasegawa Ed., rganic Electronics, p. 38 (2005). New advanced technology and novel materials are required to achieve higher resolution

5 Two Practical Immediate Technologies ne is ArF immersion lithography,, which seems to have 35 nm resolution. The other is double patterning method, which seems to have 25 nm resolution. Lens Liquid recover Liquid supply Immersion medium Resist Wafer Scanning movement Stage Figure. ArF Immersion Lithography

6 Further New Technology: EUV (13.5 nm) lithography -Development of New EUV Resists- Two different resist system can be considered ne is development of EUV-resist based on KrF resists, which are protected poly(p-hydroxy)styrenes, or based on ArF resists, which are poly(methacrylate)s containing pendant adamantyl groups. The other is development of novel molecular resists, as following reasons

7 Concept of Molecular Resist Resolution improvement Large grain(ca.20nm PHS) Small Grain (low molecular?) Exposure Dev. Dev. Small grain size polymer (Low Mw) High resolution Large grain size polymers (High Mw) Poor resolution LWR improvement Small Grain (low molecular?) Exposure Large Grain(ca.20nm PHS) Dev. Dev. Small grain size polymer (Low Mw) Small LWR Large grain size polymers (High Mw) Large LWR Molecular materials with small grain size than polymeric materials with large grain size seem to be used as high performance resists

8 However, some physical properties such as film forming property, mechanical property, Tg, and thermal stability of molecular resists decrease with molecular weight in general. Therefore, how to overcome these problems is very important for the development of molecular resists. This is a challenging research subject for good Engineer and Scientist.

9 The first molecular resists is Negative Type EB-resist based on Calixarenes CH 3 CH 3 H p-methylcalix[6]arene 6 (CH 3 C) 2 Acetic anhydride in pyridine C CH 3 p -Methylcalix[6]arenehexaacetate 6 They succeeded to achieve less than10 nm resolution as negative-type EB-resist 1) J. Fujita, Y. hnishi, Y. chiai, and S. Matsui, Appl. Phys. Lett., 68, 2438 (1995). 2) Y. chiai et al., J. Photopolym. Sci. Eng., 13, 413 (2000).

10 Past Studies by Nishikubo s s Group: - Synthesis of High Performance Photo-curable Materials - CH 3 C CCl C R C CH 3 C n CH CH C Br TBAB / KH n R CH H R Pa : R=CH 3 Pc : R=C(CH 3 ) 3 n CH TBAB / NaH Cs 2 C 3 R 2 1) K. Iyo, T. Nishikubo et al., J. Polym. Sci. Part A. Polym. Chem, 37, 3071 (1999). 2) T. Nishikubo, A. Kameyama et al., J. Polym. Sci. Part A. Polym. Chem. 37, 1805 (1999). 3) T. Nishikubo, A. Kameyama, K. Tsutsui, J. Polym. Sci. Part A. Polym. Chem., 39, 1169 (2001). Br S TBAB / KH CH R n Cl Br DBU R R R n n R 2 n C 2 R n

11 Past Studies of Positive-type type Molecular Resists based on Calixarenes by Nishikubo s s Group CH 3 C(CH 3 ) 3 R H 3 C R R CH 3 R H 3 C R R R CH 3 (H 3 C) 3 C R R R C(CH 3 ) 3 R H 3 C R CH 3 R R R R R H 3 C CH 3 (H 3 C) 3 C R R C(CH 3 ) 3 R R R (H 3 C) 3 C C(CH 3 ) 3 CH 3 C(CH 3 ) 3 Calix[4]resorcinarene p-methylcalix[6]arene p-tert-butylcalix[8]arene Derivatives 1a, 2a, 3a, 4a Derivatives 1b, 2b, 3b, 4b Derivatives 1c, 2c, 3c, 4c R: C C(CH 3 ) 3 Si(CH 3 ) 3 C C(CH 3 ) 3 t-butoxycarbonyl (t-boc) group Trimethylsilyl (TMS) group Cyclohexenyl (CHX) group (t-butoxycarbonyl) methyl group 1) T. Nishikubo et al., J. Polym. Sci. Part A. Polym. Chem., 39, 1481 (2001).

12 Synthesis and Photo-initiated Deprotection of New CRA Derivatives (CRAPh) with tert-butyl ester (t-bac) Groups 100 4i 4 (DI=100%) 80 4a CH 3 Conversion(%) a 4h 4 C 16 H 33 (DI=100%) 20 4h Heating time ( min ) Figure. Photoinduced deprotection of CRA derivatives containing tert-butyl ester moieties. UV irradiation ( 15 mw/cm 2 at 365 nm ) for5minusing5mol%dpspandheatingat150 o C CRAPh(4i) showed higher photo-reactivity than other CRA derivatives 4i is a new CRA derivative with 12 t-bac t groups 1) H. Kudo and T. Nishikubo et al., Bull. Chem. Soc. Jpn., 77, 819 (2004). 4 4i (DI=100%)

13 Composition CH n 4 (90 wt%) Reference Patterning Property of Calixarene (CRA) Derivative as Positive- type EB-Res Resist (10 wt%) CH n (100 wt%) 4i 4i (DI=100%) 150 nm 100 nm 150 nm Poly(p-(tert-butoxycarbonyl)methoxy) styrene) 100 nm Process Conditions Vacc=50 kev Substrate on bare-si Resist thickness:0.3μm EB Dose:13.5μC/cm 2 PB:130 o C/90sec PEB:130 o C/90sec Dev.TMAH 2.38 wt%,60sec High Resolution and High Sensibility 1) CRAph (4i) film with 100% t-bac groups could not make suitable resist pattern, because this compound does not have enough mechanical property. 2) The film prepared from polymer (90 w%) with 4i (10%) has good resolution on 150 nm. However, this film could not achieve 100 nm resolution as shown here. 3) We considered that CA and CRA derivatives can not be used as positive-type type EB resists with high resolution, because these derivatives do not have enough mechanical property. This is good mistake for further progress of the research.

14 That is, CA and CRA derivatives do not have enough physical properties as positive-type molecular EB-resists. How to dissolve this technical problem The first approach is a functionalization of cyclodextrins (CD)s for the application as positive-type type molecular resists.

15 First Application of CD Derivative as a Molecular Resist 1. Synthesis of β-cd derivative with tert-butyl ester groups by the reaction of β- CD with α-trifluoromethyl tert-butyl methacrylate using appropriate catalyst. 2. Photo-induced deprotection of β-cd derivative with PAG followed by heating H H H H H H H H H H H H H H H H HH H H H β-cd CF 3 R R H H H H H H R R H H H H H H HH R R R : H or R β-cd derivative hν /PAG The Patterning Property of the β-cd Derivative Sample Resin : β-cd derivative 10 wt% solution in 2-Heptanone PAG : triphenylsulfonium fluoro-sulfonate 0.5 wt% in 2-Heptanone CF 3 H + Δ Photo-induced Deprotection System PB PEB Dev. Mask : NIKN S306C(ArF) : 130 / 90s : 100 / 90s : 20 s(2.38% TMAHaq) : 100 nm 1L1S Figure. SEM images of obtained with β-cd derivative. Exposure dose: 72mJ/cm nm 0.0μm 72mJ/cm 2 1) H. Kudo et. al. Bull. Chem. Soc. Jpn., 78, 731 (2005).

16 I. Background of This Research II. Synthesis of Noria and Beryllus based on DCC System The second stage of molecular design to synthesize high performance molecular materials III. Chemical Modification of Noria and Beryllus for EUV-Resists and EB-Resists IV. Evaluation of Noria and Beryllus Derivatives as EUV-Resists, EB-Resists and Photo-curable Materials

17 Synthesis of Noria by DCC H H Resorcinol + HC-( ) 3 -CH 1,5-Pentanedial HCl 80 o C, 48 h in Ethanol H H H H H H H H H H H H H H H H H H H H H H H H Noria Yield: 83% Spin about Y axis Spin about X axis Waterwheel at my hometown Kumano-shi 1) H. Kudo and T. Nishikubo et al., Angew. Chem. Int. Ed., 45, (47), (2006).

18 X-ray Crystallography and Elemental Analysis of Noria R R R R R R H R Crystal data : formula : C 222 H CHCl 3 hexane M = Cryst syst : triclinic Space group : P-1 T = o C a = , b = , c = 20.00A α= , β= , γ= o Z = 1 D c = 1.21 g cm -3 μ = cm -1 R 1, R w2 = 0.097, 0.21 R R R R R H R R R R Noria-Boc R R R R R R R : C(CH 3 ) 3 Elemental Analysis (C 222 H CHCl 3 hexane) Measured Value ; C: %, H: 0.14 % Calculated Value ; C: %, H: 0.07 %

19 I. Background of This Research II. Synthesis of Cyclic Ladder Compound Noria and DCRA Beryllus based on DCC System The second stage of molecular design to synthesize high performance material. III. Chemical Modification of Noria and Beryllus for EUV- and EB-Resists IV. Evaluation of Noria and Beryllus Derivatives as EUV-Resists and EB- Resists

20 Chemical Modification of Noria Spin about Y axis Spin about X axis Noria has many characteristic properties 1. Noria has ladder cyclic structure. This means that Noria has strong mechanical property. 2. Noria has one hydrophobic center-hole. 3. Noria has 6 hydrophilic outside cavities 4. Noria has 24 reactive hydroxyl groups. This means that many photo-reactive groups can be introduced into Noria molecule.

21 Synthesis of Positive-Type Noria Derivatives H H H H H H H H R 1 R 1 R 1 R 1 R 1 R 1 R 1 R 1 R 2 R 2 R 2 R 2 R 2 R 2 RR 22 H H H H H H H H Chemical modification R 1 R 1 R 1 R 1 R 1 R 1 R 1 R 1 hv/ photo-acid generator R 2 R 2 R 2 R 2 R 2 R 2 R 2 R 2 H H H H H Noria H H H R 1 R 1 R 1 R R 1 R 1 1 R 1 Noria-Boc; Noria-BAc; Noria-Ad; R 1 R 1 Noria-Acetal; R R 2 R 2 R 2 R 2 R 2 R 2 R 2 R 2 R 2 Noria; Noria-BAA; H H R 3 R 3 R 3 R 3 R 3 R R 3 R 3 3 R 3 R 3 RR 3 3 R 3 R 3 R 3 R 3 Chemical modification R 4 R 4 R 4 R 4 R 4 R 4 R 4 R 4 R 4 R 4 RR 44 R 4 R 4 R 4 R 4 hv photo-acid generator R 5 R 5 R 5 R 5 R 5 R 5 R 5 R 5 RR 5 5 RR 55 R 5 R 5 R 5 R 5 R 3 R 3 R 3 R 3 R 3 R 3 R 3 R 3 R 3 Noria-BAA; H R 4 R 4 R 4 R 4 R 4 R 4 Noria-Acetal; R 4 R 4 R 4 R 5 R 5 R 5 R 5 R 5 R 5 R 5 R 5 R 5 Noria-BAA; H

22 Synthesis of Negative-Type Noria Derivatives R R R R R R R R R R R R R R R R R R R R R R R R Noria-MA : R : Noria-X : R : Noria-HPMA : R : H Noria-HE : R : H Noria-VE : R : Noria-EMA : R : Noria-AE : R : Noria-PE : R : 1) H. Kudo, R. Hayashi, and T. Nishikubo, Macromolecules, in press (2010).

23 I. Background of This Research II. Synthesis of Cyclic Ladder Compound Noria based on DCC System The second stage of molecular design to synthesize high performance material. III. Chemical Modification of Noria and Beryllus for EUV- and EB-Resists IV. Evaluation of Noria and Beryllus Derivatives as EUV-Resists and EB-Resists

24 Patterning Properties of Noria-Boc and Noria BAc by EBL R 1 R 1 RR 1 R 1 R R H R 1 Exposed by Electron Beam R 1 R 1 R 1 R 1 R 1 R 1 R 1 R 1 RR 1 R 1 R 1 1 R 1 R R 1 1 R1 R 1 = Noria-Boc 100 Line and space : 50 nm X. André, J.-K Lee, A. D. Silva, N. Felix, C. K. ber, H. B. Cao, H Deng, H. Kudo, D. Watanabe, T. Nishikubo., Proc. of SPIE, 6519, 65194B (2007) R 1 R 1 RR 1 R 1 R R H R 1 Exposed by Electron Beam R 1 R 1 R 1 R 1 R 1 R 1 R 1 R 1 RR 1 R 1 R 1 1 R 1 R 1 R 1 R1 Noria-BAc 60 R 1 = Line and space : 70 nm H. Kudo, D. Watanabe, T. Nishikubo, K. Maruyama, D. Shimizu, T. Kai, T. Shimokawa and C. K. ber., J Mater. Chem., 18, 3588 (2008)

25 Patterning Properties of Noria-Ad by EB Lithography (SEM Image) Noria-Ad Ad nm pitch 260 μccm μccm nm pitch (PEB 115 ) 260 μccm μccm pa 300um 300 um (240,000 dot 240,000 dot) Evaluated by Professor Tagawa s s group, saka University

26 EUV Exposure tools setup on Selete, Japan Mask loader Exposure chamber Source Wafer loser Wafer track Small Size EUV Exposure Tool (SEFT) on Selete, Tsukuba, Japan

27 Patterning Properties of Noria-Ad EUV Lithography (SEM Image) by Annular Method using EUVL (SEFT) 45nmL/S Ad 23 by R 1 R 1 R 1 R 1 R 1 R 1 RR 1 R 1 R R RR 1 R 1 R 1 1 R 1 R 1 R 1 R1 Noria-Ad 32 nml/s 30 nml/s 28 nml/s 26 nml/s 24 nml/s 22 nml/s 12.5 mj/cm mj/cm mj/cm mj/cm mj/cm mj/cm mj/cm nm R 1 = Ad H R 1 R 1 R 1 R 1 R 1 by X-X Slit Method using EUVL (SEFT) 26 nml/s Substrate: Si. Thickness: 50nm SFET (Annular0.3/0.7). Dev.: TMAH 2.38 wt% 60 sec 25 nml/s 24 nml/s 23 nml/s 22 nml/s 21 nml/s 20 nml/s 15.5mJ/cm mj/cm 2 16 mj/cm 2 16 mj/cm 2 16 mj/cm 2 16 mj/cm 2 16 mj/cm 2 Evaluated by Selete, Japan Thickness : 50 nm Prebake: 130 / 60 sec Exposure Tool: SFET (X Slit). PEB: 130 / 60 sec Dev.: TMAH 2.38 wt% 60 sec. CD SEM:S9380 II 1) T. Nishikubo, H. Kudo, Y. Suyama,, H. izumi,, and T. Itani, J. Photopoly.. Sci. Tech., 22,, 73 (2009). 2) H. Kudo, Y. Suyama,, H. izumi,, T. Itani,, and T. Nishikubo, J. Mater. Chem., 20,, 4445 (2010).

28 Patterning Properties of Noria-CHVE 43 EUVL (SEM Image) Dose/ CD/ LWR 18/ 59.3/ nm 50nm 18/ 51.2/ nm 18/ 46.7/ nm 19/ 39.0/ nm 19/ 35.5/ 8.7 by 43 by R R R R R Noria-CHVE R H CH 3 3 Noria-CVE 43 R:100/TPS-NF:10/TA:1.25(wt ratio) 2.2wt% PGMEA: Si, UL-A 基板 Process conditions PAB: 90-60s PEB: 90-60s DEP: 2.38%TMAH 30s 19/ 33.5/ nm 30nm 28nm 1) H. Kudo, M. Jinguji, T. Nishikubo, H. izumi, T. Itani, J. Photopolym. Sci. Technol., 23, (2010).

29 Summary 1. We successfully synthesized novel ladder cyclic compound Noria and double calixarene compound Beryllus based on DCC system. 2. We examined chemical modification of Noria for the application of EUV- and EB-resists, and it was found that Noria derivatives with appropriate protecting groups achieved nm resolution as a positive-type type EUV-resist. 3. We also examined chemical modification of Beryllus for the application of EUV- and EB- resists, and it was found that Beryllus derivatives with appropriate protecting groups achieved 28 nm resolution as a positive-type type EUV-resist.

Laser Lithography System. d Technology (SPST), (C) フォトポリマー学会 Rights データはフォトポリマー学会からの許諾を得て公開しています

Laser Lithography System.   d Technology (SPST), (C) フォトポリマー学会 Rights データはフォトポリマー学会からの許諾を得て公開しています Synthesis and Property of Tannic Title s and Their Application for Extre Author(s) AWA, Laser Lithography System KUD, Hiroto, HRI, Shizuya, TAK Hiroki, WATANABE, Takeo, YAM KZAWA, Takahiro Journal of Photopolymer

More information

Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation

Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation Study of Deprotection Reaction during Exposure in hemically Amplified Resists for Lithography Simulation Yasuhiro Miyake, Mariko Isono and Atsushi Sekiguchi Litho Tech Japan orporation, 2-6-6-201, Namiki,

More information

Effect of PAG Location on Resists for Next Generation Lithographies

Effect of PAG Location on Resists for Next Generation Lithographies Effect of PAG Location on Resists for Next Generation Lithographies ber Research Group Materials Science & Engineering Ithaca, NY 14853 Development Trends in Microlithography 10 Contact Printer Architectures

More information

Molecular Glass Resist with Organic Developer

Molecular Glass Resist with Organic Developer 1 Molecular Glass Resist with Organic Developer James M. Blackwell 1,2 Armando Ramirez 1 Hiroki Nakagawa 1,3 Yoshi Hishiro 3 1 Intel's Molecules for Advanced Patterning(MAP) Program, LBNL Molecular Foundry,

More information

Relationships between Stochastic Phenomena and Optical Contrast in Chemically Amplified Resist Process of Extreme Ultraviolet Lithography

Relationships between Stochastic Phenomena and Optical Contrast in Chemically Amplified Resist Process of Extreme Ultraviolet Lithography Journal of Photopolymer Science and Technology Volume 7, Number () 9 SPST Relationships between Stochastic Phenomena and Optical Contrast in Chemically Amplified Resist Process of Extreme Ultraviolet Lithography

More information

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node Takahiro Kozawa and Seiichi Tagawa The Institute of Scientific and Industrial Research, Osaka University, 8-1

More information

Synthesis and Property of Tellurium-Containing Polymer for Extreme Ultraviolet Resist Material

Synthesis and Property of Tellurium-Containing Polymer for Extreme Ultraviolet Resist Material Journal of Photopolymer Science and Technology Volume 30, Number 1 (2017) 103-107 C 2017SPST Synthesis and Property of Tellurium-Containing Polymer for Extreme Ultraviolet Resist Material Mari Fukunaga

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XIV, SPIE Vol. 3049, pp. 706-711. It

More information

Current Status of Inorganic Nanoparticle Photoresists

Current Status of Inorganic Nanoparticle Photoresists Prof. Christopher K. ber Department of Materials Science and Engineering, Cornell University, Ithaca NY Current Status of Inorganic Nanoparticle Photoresists Markos Trikeriotis, Marie Krysak, Yeon Sook

More information

Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems

Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems Seiichi Tagawa The Institute of Scientific and Industrial

More information

EUV Resist-Fundamental Research

EUV Resist-Fundamental Research EUV Resist-Fundamental Research Akinori Saeki, Hiroki Yamamoto, Takahiro Kozawa, and Seiichi Tagawa The Institute of Scientific and Industrial Research, Osaka University CREST, Japan Science and Technology

More information

Development of Lift-off Photoresists with Unique Bottom Profile

Development of Lift-off Photoresists with Unique Bottom Profile Transactions of The Japan Institute of Electronics Packaging Vol. 8, No. 1, 2015 [Technical Paper] Development of Lift-off Photoresists with Unique Bottom Profile Hirokazu Ito, Kouichi Hasegawa, Tomohiro

More information

Photosensitive polyimides without side chain: negative-tone reaction development patterning

Photosensitive polyimides without side chain: negative-tone reaction development patterning Photosensitive polyimides without side chain: negative-tone reaction development patterning Toshiyuki yama Department of Advanced Materials Chemistry, Faculty of Engineering, Yokohama ational University

More information

Acid Quantum Efficiency of Anion-bound Chemically Amplified Resists upon Exposure to Extreme Ultraviolet Radiation

Acid Quantum Efficiency of Anion-bound Chemically Amplified Resists upon Exposure to Extreme Ultraviolet Radiation Journal of Photopolymer Science and Technology Volume 28, Number 4 (2015) 501 505 2015SPST Acid Quantum Efficiency of Anion-bound Chemically Amplified Resists upon Exposure to Extreme Ultraviolet Radiation

More information

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography February 26, 2009 Shinji Tarutani FUJIFILM Corporation Research & Development Management Headquarters Electronic Materials Research

More information

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media.

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media. originates from the limited number of options regarding orthogonal solvents, i.e. solvents that do not dissolve or adversely damage a pre-deposited organic materials layer. The simplest strategy to achieve

More information

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists INTERFACE '95 This paper was published in the proceedings of the OCG Microlithography Seminar, Interface '95, pp. 217-228. It is made available as an electronic reprint with permission of OCG Microelectronic

More information

Australian Journal of Basic and Applied Sciences. Characteristic of Photocurable Organic/Inorganic Hybrids Utilizing Acid Proliferation Reactions

Australian Journal of Basic and Applied Sciences. Characteristic of Photocurable Organic/Inorganic Hybrids Utilizing Acid Proliferation Reactions AENSI Journals Australian Journal of asic and Applied Sciences ISSN:1991-8178 Journal home page: www.ajbasweb.com Characteristic of Photocurable Organic/Inorganic Hybrids Utilizing Acid Proliferation Reactions

More information

Development of Photosensitive Polyimides for LCD with High Aperture Ratio. May 24, 2004

Development of Photosensitive Polyimides for LCD with High Aperture Ratio. May 24, 2004 Development of Photosensitive Polyimides for LCD with High Aperture Ratio May 24, 2004 utline Why is polymer dielectric required for TFT LCD? Requirements of the polymer dielectrics What is polyimide?

More information

Next: 193nm Lithography

Next: 193nm Lithography Lecture 16 Chemical Engineering for Micro/Nano Fabrication Next: 193nm Lithography Absorption coefficient [1/µm] Absorption of Photoresist Polymers 2.8 Poly-(4-hydroxystyrene) 2.4 Meta-cresol novolak 2.0

More information

Screening of basic resist materials and PAGs for EUV-Lithography

Screening of basic resist materials and PAGs for EUV-Lithography Screening of basic resist materials and PAGs for EUV-Lithography 1. Int. EUVL-Symposium, Dallas, TX Klaus Lowack, Wolf-Dieter Domke, liver Kirch, Karl Kragler, Heinz-Ulrich Scheunemann* Infineon Technologies

More information

Chapter 2 Chemistry of High-Energy Charged Particles: Radiations and Polymers

Chapter 2 Chemistry of High-Energy Charged Particles: Radiations and Polymers Chapter 2 Chemistry of High-Energy Charged Particles: Radiations and Polymers The combination of polymers and the high-energy charged particles with sufficiently high LET is the promising candidate for

More information

Introduction. Photoresist : Type: Structure:

Introduction. Photoresist : Type: Structure: Photoresist SEM images of the morphologies of meso structures and nanopatterns on (a) a positively nanopatterned silicon mold, and (b) a negatively nanopatterned silicon mold. Introduction Photoresist

More information

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Photolithography Overview  9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview http://www.intel.com/research/silicon/mooreslaw.htm 1 Moore s law only holds due to photolithography advancements in reducing linewidths 2 All processing to create electric components and circuits rely

More information

EUV Reflectometry for Determining the Optical Properties of Photoresists and Underlayer Materials Upon Irradiation at 13.5-nm

EUV Reflectometry for Determining the Optical Properties of Photoresists and Underlayer Materials Upon Irradiation at 13.5-nm EUV Reflectometry for Determining the Optical Properties of Photoresists and Underlayer Materials Upon Irradiation at 13.5-nm Grace H. Ho, 1 Fu-H. Kang, 1 Yu-H. Shih, 1 Hok-S. Fung, Hwang-W. Fu, Rikimaru

More information

Novel chemically amplified resists incorporating anionic photoacid generator functional groups for sub-50-nm half-pitch lithography

Novel chemically amplified resists incorporating anionic photoacid generator functional groups for sub-50-nm half-pitch lithography PAPER www.rsc.org/materials Journal of Materials Chemistry Novel chemically amplified resists incorporating anionic photoacid generator functional groups for sub-50-nm half-pitch lithography Kenneth E.

More information

Polymer Matrix Effects on EUV Acid Generation

Polymer Matrix Effects on EUV Acid Generation Polymer Matrix Effects on EUV Acid Generation Theodore H. Fedynyshyn, Russell B. Goodman, and Jeanette Roberts # Lincoln Laboratory Massachusetts Institute of Technology # Intel Corporation The Lincoln

More information

Radiation Chemistry of EUV and EB Resists

Radiation Chemistry of EUV and EB Resists Radiation Chemistry of EUV and EB Resists Tagawa 1,2,3 1 The Institute of Scientific and Industrial Research, Osaka University, 2 Japan Science and Technology Agency, CREST, c/o Osaka University, 8-1 Mihogaoka,

More information

Exploration of non-chemically amplified resists based on chain-scission mechanism for 193 nm lithography

Exploration of non-chemically amplified resists based on chain-scission mechanism for 193 nm lithography Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 2010 Exploration of non-chemically amplified resists based on chain-scission mechanism for 193 nm lithography

More information

Nanoimprint Lithography

Nanoimprint Lithography Nanoimprint Lithography Wei Wu Quantum Science Research Advanced Studies HP Labs, Hewlett-Packard Email: wei.wu@hp.com Outline Background Nanoimprint lithography Thermal based UV-based Applications based

More information

Van der Waals Interaction between Polymer Aggregates and Substrate Surface Analyzed by Atomic Force Microscope (AFM)

Van der Waals Interaction between Polymer Aggregates and Substrate Surface Analyzed by Atomic Force Microscope (AFM) Journal of Photopolymer Science and Technology Volume 15,Number 1(2002)127-132 2002TAPJ L Van der Waals Interaction between Polymer Aggregates and Substrate Surface Analyzed by Atomic Force Microscope

More information

ZEP520 ZEP520. Technical Report. ZEON CORPORATION Specialty Materials Division. High Resolution Positive Electron Beam Resist.

ZEP520 ZEP520. Technical Report. ZEON CORPORATION Specialty Materials Division. High Resolution Positive Electron Beam Resist. Technical Report ZEP52 ZEP52 Ver.1.2 Mar.21 ZEONREX Electronic Chemicals High Resolution Positive Electron Beam Resist ZEP52 ZEON CORPORATION Specialty Materials Division Headquarters R&D Center Furukawa

More information

SMALL MOLECULE PHOTORESIST MATERIALS FOR NEXT GENERATION LITHOGRAPHY. A Dissertation. Presented to the Faculty of the Graduate School

SMALL MOLECULE PHOTORESIST MATERIALS FOR NEXT GENERATION LITHOGRAPHY. A Dissertation. Presented to the Faculty of the Graduate School SMALL MOLECULE PHOTORESIST MATERIALS FOR NEXT GENERATION LITHOGRAPHY A Dissertation Presented to the Faculty of the Graduate School of Cornell University In Partial Fulfillment of the Requirements for

More information

Resist material for negative tone development process

Resist material for negative tone development process Resist material for negative tone development process FUJIFILM Corporation Electronic Materials Research Laboratories P-1 Outline 1. Advantages of negative tone imaging for DP 2. Process maturity of negative

More information

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center Functional Materials for Advanced Patterning Robert D. Allen Business Unit or Product Name IBM Almaden Research Center 2003 IBM Corporation Resists/Materials for Advanced Patterning Trends in Lithography

More information

Techniken der Oberflächenphysik (Techniques of Surface Physics)

Techniken der Oberflächenphysik (Techniques of Surface Physics) Techniken der Oberflächenphysik (Techniques of Surface Physics) Prof. Yong Lei & Dr. Yang Xu (& Liying Liang) Fachgebiet 3D-Nanostrukturierung, Institut für Physik Contact: yong.lei@tu-ilmenau.de; yang.xu@tu-ilmenau.de;

More information

Application of polymers to photoresist materials

Application of polymers to photoresist materials Nippon Gomu Kyokaishi, No. 2, 2012, pp. 33 39 Application of polymers to photoresist materials A. Soyano Semiconductor Materials Laboratory, Fine Electronics Materials Research Laboratories, JSR Corporation,

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Hiroshi Ito and Mark Sherwood. IBMAlmaden Research Center 650 Harry Road San Jose, CA 95120, U S. A ibm. com.

Hiroshi Ito and Mark Sherwood. IBMAlmaden Research Center 650 Harry Road San Jose, CA 95120, U S. A ibm. com. Journal of Photopolymer Science and Technology Volumel2,Number4(1999) 625-636 1999TAPJ Investigation of Deep UV Solvents, Chemistries, Resists by NMR: Residual and PAG Decomposition in Casting Film Hiroshi

More information

DUV Positive Photoresists

DUV Positive Photoresists Journal of Photopolymer Science and Technology Volume 5, Number 1(1992) 207-216 Factors Affecting the Performance of Chemically DUV Positive Photoresists Amplified James W. Thackeray, Theodore H. Fedynyshyn,

More information

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking Improving resist resolution and sensitivity via electric-field enhanced postexposure baking Mosong Cheng, a) Lei Yuan, Ebo Croffie, and Andrew Neureuther Electronics Research Laboratory, University of

More information

Femtosecond laser microfabrication in. Prof. Dr. Cleber R. Mendonca

Femtosecond laser microfabrication in. Prof. Dr. Cleber R. Mendonca Femtosecond laser microfabrication in polymers Prof. Dr. Cleber R. Mendonca laser microfabrication focus laser beam on material s surface laser microfabrication laser microfabrication laser microfabrication

More information

Supplementary Information

Supplementary Information Supplementary Information Experimental Section Hybrid Nanoparticle Synthesis The hafnium nanoparticles surface-modified with methacrylic acid (MAA, Sigma Aldrich, 99%), trans 2, 3-dimethylacrylic acid

More information

Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography

Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography MCROU,!~'O~C ELSEVER Microelectronic Engineering 46 (1999) 173-177 Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography DUV Holographic L. A. Wang*, C. H. Lin and J. H. Chen nstitute

More information

Photocure Reactions of Photoreactive Prepolymers with Cinnamate Groups

Photocure Reactions of Photoreactive Prepolymers with Cinnamate Groups Photocure Reactions of Photoreactive Prepolymers Bull. Korean hem. Soc. 2011, Vol. 32, No. 3 993 DI 10.5012/bkcs.2011.32.3.993 Photocure Reactions of Photoreactive Prepolymers with innamate Groups Whan

More information

DIFFUSION OF ACID AND ACTIVATION ENERGY OF POSITIVE CHEMICAL AMPLIFICATION RESIST

DIFFUSION OF ACID AND ACTIVATION ENERGY OF POSITIVE CHEMICAL AMPLIFICATION RESIST Journal of Photopolymer Science and Technology Volume 6, Number 4(1993) 505-514 1993TAPJ DIFFUSION OF ACID AND ACTIVATION ENERGY OF POSITIVE CHEMICAL AMPLIFICATION RESIST Koi 7ASAKAWA Toshiba Research

More information

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Senichi Nishibe a, Thaddeus Dziura a, Venkat Nagaswami a, Roel Gronheid b a KLA-Tencor Corporation, 1 Technology Drive, Milpitas

More information

Photosensitive Polyimide for Packaging Applications

Photosensitive Polyimide for Packaging Applications Journal of Photopolymer Science and Technology Volume 28, Number 1 (2015) 73 77 2015SPST Photosensitive Polyimide for Packaging Applications Masao Tomikawa, Ryoji kuda, and Hiroyuki hnishi Electronic &

More information

High sensitivity nanocomposite resist materials for X-ray and EUV Lithography

High sensitivity nanocomposite resist materials for X-ray and EUV Lithography High sensitivity nanocomposite resist materials for X-ray and EUV Lithography Mohammad Azam Au1, Kenneth E. Gonsalves', N. Batina'V. Golovkina3 and F. Cerrina3. 1Department of Chemistry & NanoTech. Research

More information

A Study of Resolution Limits Due to Intrinsic Bias in Chemically Amplified Photoresists.

A Study of Resolution Limits Due to Intrinsic Bias in Chemically Amplified Photoresists. A Study of Resolution Limits Due to Intrinsic Bias in Chemically Amplified Photoresists. Sergei V. Postnikov, Michael D. Stewart, Hoang Vi Tran, Mark A. Nierode, David R. Medeiros, T. Cao, Jeffrey Byers,

More information

A Non-linear Organic Reaction of Malonate Derivative as a Base Amplifier to Generate Imidazoles without Producing Gas

A Non-linear Organic Reaction of Malonate Derivative as a Base Amplifier to Generate Imidazoles without Producing Gas Electronic Supplementary Material (ESI) for RSC Advances. This journal is The Royal Society of Chemistry 16 Electronic Supplementary Information (ESI) A on-linear rganic Reaction of Malonate Derivative

More information

Optimizing RLS. Seiichi Tagawa. The Institute of Scientific and Industrial Research Osaka University and JST-CREST

Optimizing RLS. Seiichi Tagawa. The Institute of Scientific and Industrial Research Osaka University and JST-CREST Optimizing RLS Seiichi Tagawa The Institute of Scientific and Industrial Research Osaka University and JST-CREST IEUVI Resist TWG October 2, 2008 Acknowledgement Prof. Takahiro Kozawa Dr. Akinori Kozawa

More information

Nanofabrication/Nano-Characterization Calixarene and CNT Control Technology

Nanofabrication/Nano-Characterization Calixarene and CNT Control Technology Nanofabrication/Nano-Characterization Calixarene and CNT Control Technology ISHIDA Masahiko, FUJITA Junichi, NARIHIRO Mitsuru, ICHIHASHI Toshinari, NIHEY Fumiyuki, OCHIAI Yukinori Abstract The world of

More information

Takeo Watanabe Center for EUVL, University of Hyogo

Takeo Watanabe Center for EUVL, University of Hyogo Soft X-ray Absorption Spectroscopy using SR for EUV Resist Chemical Reaction Analysis Takeo Watanabe Center for EUVL, University of Hyogo Outline 1) Background 2) Princple of X-ray absorption spectroscopy

More information

5. Photochemistry of polymers

5. Photochemistry of polymers 5. Photochemistry of polymers 5.1 Photopolymerization and cross-linking Photopolymerization The fundamental principle of photopolymerization is based on the photoinduced production of a reactive species,

More information

Title. CitationChemical physics letters, 657: Issue Date Doc URL. Rights

Title. CitationChemical physics letters, 657: Issue Date Doc URL. Rights Title Dynamics of radical cations of poly(4-hydroxystyrene determined by pulse radiolysis of its highly concent Author(s)Okamoto, Kazumasa; Ishida, Takuya; Yamamoto, Hiroki; CitationChemical physics letters,

More information

Simulation and characterization of surface and line edge roughness in photoresists before and after etching

Simulation and characterization of surface and line edge roughness in photoresists before and after etching Simulation and characterization of surface and line edge roughness in photoresists before and after etching Motivation of this work : Sub 100nm lithographic features often suffer from roughness. Need to

More information

Recent progress in nanoparticle photoresist development for EUV lithography

Recent progress in nanoparticle photoresist development for EUV lithography Recent progress in nanoparticle photoresist development for EUV lithography Kazuki Kasahara ab, Vasiliki Kosma b, Jeremy Odent b, Hong Xu b, Mufei Yu b, Emmanuel P. Giannelis b, Christopher K. Ober b a

More information

Direct write electron beam patterning of DNA complex thin films

Direct write electron beam patterning of DNA complex thin films Direct write electron beam patterning of DNA complex thin films R. A. Jones, W. X. Li, H. Spaeth, and A. J. Steckl a Nanoelectronics Laboratory, University of Cincinnati, Cincinnati, Ohio 45221-0030 Received

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XVI, SPIE Vol. 3678, pp. 1-1011. It

More information

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Manish Chandhok, a Heidi Cao, a Wang Yueh, a Eric Gullikson, b Robert Brainard, c Stewart Robertson c a Intel Corporation,

More information

FINE PATTERN ETCHING OF SILICON USING SR-ASSISTED IONIZATION OF CF4 GAS

FINE PATTERN ETCHING OF SILICON USING SR-ASSISTED IONIZATION OF CF4 GAS Technical Paper Journal of Photopolymer Science and Technology Volume 6, Number 4(1993) 617-624 1993TAPJ FINE PATTERN ETCHING OF SILICON USING SR-ASSISTED IONIZATION OF CF4 GAS YASUO NARA, YosHIHto SUGITA,

More information

Current development status of Shin-Etsu EUV pellicle

Current development status of Shin-Etsu EUV pellicle Current development status of Shin-Etsu EUV pellicle Advanced Functional Materials Research Center 1 Why Pellicle for EUV Lithography? Extensive studies on particle addition during reticle transfer have

More information

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning SEMI Texas Spring Forum May 21, 2013 Austin, Texas Author / Company / Division / Rev. / Date A smartphone today has

More information

Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation

Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation G. P. Patsis, A. Tserepi, I. Raptis, N. Glezos, and E. Gogolides a) Institute of Microelectronics,

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication

Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication Benjamen M. Rathsack, Cyrus E. Tabery, Timothy B. Stachowiak, Jeff Albelo 2 and C. Grant Willson Department

More information

Particle Generation during Photoresist Dissolution

Particle Generation during Photoresist Dissolution Particle Generation during Photoresist Dissolution Siddharth Chauhan a, Mark Somervell b, Michael Carcasi b, Steven Scheer b, Roger T. Bonnecaze a, Chris Mack c and C. Grant Willson a a Department of Chemical

More information

TEPZZ 8 95 A_T EP A1 (19) (11) EP A1 (12) EUROPEAN PATENT APPLICATION. (43) Date of publication: Bulletin 2015/05

TEPZZ 8 95 A_T EP A1 (19) (11) EP A1 (12) EUROPEAN PATENT APPLICATION. (43) Date of publication: Bulletin 2015/05 (19) TEPZZ 8 9 A_T (11) EP 2 829 32 A1 (12) EUROPEAN PATENT APPLICATION (43) Date of publication: 28.01.1 Bulletin 1/0 (21) Application number: 1418013.1 (22) Date of filing:.03.08 (1) Int Cl.: C07C 67/08

More information

Mesoporous Organosilicas with Acidic Frameworks and Basic Sites in the Pores: An Approach to Cooperative Catalytic Reactions

Mesoporous Organosilicas with Acidic Frameworks and Basic Sites in the Pores: An Approach to Cooperative Catalytic Reactions Literature Report 2009-12-08 Mesoporous rganosilicas with Acidic Frameworks and Basic Sites in the Pores: An Approach to Cooperative Catalytic Reactions Yan Yang Shylesh, S.;* Thiel, W. R.* et al. Angew.

More information

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists Nickhil Jakatdar 1, Junwei Bao, Costas J. Spanos Dept. of Electrical Engineering and Computer Sciences, University

More information

Optical Proximity Correction

Optical Proximity Correction Optical Proximity Correction Mask Wafer *Auxiliary features added on mask 1 Overlay Errors + + alignment mask wafer + + photomask plate Alignment marks from previous masking level 2 (1) Thermal run-in/run-out

More information

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

Methodology of modeling and simulating line-end shortening effects in deep-uv resist Methodology of modeling and simulating line-end shortening effects in deep-uv resist Mosong Cheng*, Ebo Croffie, Andrew Neureuther Electronics Research Laboratory Department of Electrical Engineering and

More information

Study on Improved Resolution of Thick Film Resist (Verification by Simulation)

Study on Improved Resolution of Thick Film Resist (Verification by Simulation) Study on Improved Resolution of Thick Film Resist (Verification by Simulation) Yoshihisa Sensu, Atsushi Sekiguchi, Yasuhiro Miyake Litho Tech Japan Corporation 2-6-6 Namiki, Kawaguchi, Saitama, 332-0034,

More information

Single Layer Fluoropolymer Resists for 157 nm Lithography

Single Layer Fluoropolymer Resists for 157 nm Lithography Single Layer Fluoropolymer Resists for 157 nm Lithography M. K. Crawford *, W. B. Farnham, A. E. Feiring, J. Feldman, R. H. French, K. W. Leffew, V. A. Petrov, W. Qiu, F. L. Schadt III, H.V. Tran, R. C.

More information

Novel Approach for the Improvement of Post Exposure Delay Stability in ArF Resist Composed of Alicyclic Polymer

Novel Approach for the Improvement of Post Exposure Delay Stability in ArF Resist Composed of Alicyclic Polymer Journal of Photopolymer Science and Technology Volumel2,Number3(1999) 469-476 1999TAPJ Novel Approach for the Improvement of Post Exposure Delay Stability in ArF Resist Composed of Alicyclic Polymer Jae-Chang

More information

Curing Properties of Cycloaliphatic Epoxy Derivatives

Curing Properties of Cycloaliphatic Epoxy Derivatives Curing Properties of Cycloaliphatic Epoxy Derivatives Hiroshi Sasaki Toagosei Co. Ltd. Nagoya, Japan Introduction UV-cationic-curing, based on the photo-generation of acid and consecutive cationic polymerization,

More information

Modeling of Environmentally Friendly Siloxane- Based Lithography Solvents

Modeling of Environmentally Friendly Siloxane- Based Lithography Solvents Modeling of Environmentally Friendly Siloxane- Based Lithography Solvents Gregory N. Toepperwein, Dan Rynearson, Juan J. de Pablo Christine Ouyang, Chris Ober 17 November 2011 1 Motivation Drive to reduce

More information

Orthogonal Processing: A New Strategy for Patterning Organic Electronics

Orthogonal Processing: A New Strategy for Patterning Organic Electronics 1 rthogonal Processing: A New Strategy for Patterning rganic Electronics ERC Teleconference 3/September/2009 Jin-Kyun Lee and Christopher K. ber* Materials Science & Engineering Cornell University 2 rganic

More information

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu X-ray Photoelectron Spectroscopy Introduction Qualitative analysis Quantitative analysis Charging compensation Small area analysis and XPS imaging

More information

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Lithography for Semiconductor Manufacturing SPIE Vol. 3741, pp. 148-160. It is made

More information

Improvement of the diffraction properties in holographic polymer dispersed liquid crystal bragg gratings q

Improvement of the diffraction properties in holographic polymer dispersed liquid crystal bragg gratings q Optics Communications 218 (2003) 27 32 www.elsevier.com/locate/optcom Improvement of the diffraction properties in holographic polymer dispersed liquid crystal bragg gratings q YanJun Liu a, *, Bin Zhang

More information

Nanostructure Fabrication Using Selective Growth on Nanosize Patterns Drawn by a Scanning Probe Microscope

Nanostructure Fabrication Using Selective Growth on Nanosize Patterns Drawn by a Scanning Probe Microscope Nanostructure Fabrication Using Selective Growth on Nanosize Patterns Drawn by a Scanning Probe Microscope Kentaro Sasaki, Keiji Ueno and Atsushi Koma Department of Chemistry, The University of Tokyo,

More information

Analysis of carbon contamination on EUV mask using CSM/ ICS

Analysis of carbon contamination on EUV mask using CSM/ ICS Analysis of carbon contamination on EUV mask using CSM/ ICS Jae Uk Lee 1, Chang Young Jeong 1, Sangsul Lee 1, Jong Gul Doh 1,, Dong Geun Lee 2, Seong-Sue Kim 2, Han-Ku Cho 2, Seung-yu Rah 3 and Jinho Ahn

More information

Microfabricação em materiais poliméricos usando laser de femtossegundos

Microfabricação em materiais poliméricos usando laser de femtossegundos Microfabricação em materiais poliméricos usando laser de femtossegundos Prof. Cleber R. Mendonça http://www.fotonica.ifsc.usp.br University of Sao Paulo - Brazil students 77.000 52.000 undergrad. 25.000

More information

Photoresists for Screen Printing Plates with High Resolution and Sensitivity Using Thiol-ene Reaction

Photoresists for Screen Printing Plates with High Resolution and Sensitivity Using Thiol-ene Reaction Journal of Photopolymer cience and Technology Volume 28, Number 1 (2015) 61 66 2015PT Photoresists for creen Printing Plates with High Resolution and ensitivity Using Thiol-ene Reaction Haruyuki kamura,

More information

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM U.S. -KOREA Forums on Nanotechnology 1 CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM February 17 th 2005 Eung-Sug Lee,Jun-Ho Jeong Korea Institute of Machinery & Materials U.S. -KOREA Forums

More information

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH High NA the Extension Path of EUV Lithography Dr. Tilmann Heil, Carl Zeiss SMT GmbH Introduction This talk is about resolution. Resolution λ = k 1 NA High-NA NA 0.33 0.4 0.5 0.6 Resolution @ k1=0.3 single

More information

Exploration of non-chemically amplified resists based on dissolution inhibitors for 193 nm lithography

Exploration of non-chemically amplified resists based on dissolution inhibitors for 193 nm lithography Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 2010 Exploration of non-chemically amplified resists based on dissolution inhibitors for 193 nm lithography Burak

More information

Supplementary Materials: SRG Inscription in Supramolecular Liquid Crystalline Polymer Film: Replacement of Mesogens

Supplementary Materials: SRG Inscription in Supramolecular Liquid Crystalline Polymer Film: Replacement of Mesogens Supplementary Materials: SRG Inscription in Supramolecular Liquid Crystalline Polymer Film: Replacement of Mesogens Shun Mitsui, Mitsuo Hara, Shusaku Nagano, and Takahiro Seki S. Synthesis Materials Sodium

More information

Lecture 8. Photoresists and Non-optical Lithography

Lecture 8. Photoresists and Non-optical Lithography Lecture 8 Photoresists and Non-optical Lithography Reading: Chapters 8 and 9 and notes derived from a HIGHLY recommended book by Chris Mack, Fundamental Principles of Optical Lithography. Any serious student

More information

Thermally Stable Photoreactive Polymers as a Color Filter Resist Bearing Acrylate and Cinnamate Double Bonds

Thermally Stable Photoreactive Polymers as a Color Filter Resist Bearing Acrylate and Cinnamate Double Bonds Macromolecular Research, Vol. 16, No. 1, pp 31-35 (2008) Thermally Stable Photoreactive Polymers as a Color Filter Resist Bearing Acrylate and Cinnamate Double Bonds Seung Hyun Cho Polymer Technology Institute,

More information

Is an EUV Film Quantum Yield of 30 Possible?

Is an EUV Film Quantum Yield of 30 Possible? Is an EUV Film Quantum Yield of 30 Possible? February 21, 2016 Resist TWG San Jose, CA Greg Denbeaux, Amrit Narasimhan, Steven Grzeskowiak, and Robert L. Brainard SUNY Polytechnic Institute Resist TWG

More information

Influence of Wavelength of Light on Photoinduced Orientation of Azobenzene-Containing Polymethacrylate Film

Influence of Wavelength of Light on Photoinduced Orientation of Azobenzene-Containing Polymethacrylate Film Polymer Journal, Vol. 38, No. 7, pp. 724 73 (26) #26 The Society of Polymer Science, Japan Influence of Wavelength of Light on Photoinduced Orientation of Azobenzene-Containing Polymethacrylate Film Emi

More information

A short pulsed laser cleaning system for EUVL tool

A short pulsed laser cleaning system for EUVL tool A short pulsed laser cleaning system for EUVL tool Masami Yonekawa, Hisashi Namba and Tatsuya Hayashi Nanotechnology & Advanced System Research Laboratories, Canon inc. 23-10, Kiyohara-Kogyodanchi, Utsunomiya-shi,

More information

56.2: Invited Paper: Pixel-Isolated Liquid Crystal Mode for Plastic Liquid Crystal Displays

56.2: Invited Paper: Pixel-Isolated Liquid Crystal Mode for Plastic Liquid Crystal Displays 56.2: Invited Paper: Pixel-Isolated Liquid Crystal Mode for Plastic Liquid Crystal Displays Jong-Wook Jung, Se-Jin Jang, Min Young Jin, You-Jin Lee, Hak-Rin Kim, and Jae-Hoon Kim Department of Electronics

More information

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF)

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Journal of the Korean Physical Society, Vol. 33, No. 5, November 1998, pp. 579 583 Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Baikil Choi and Hyeongtag Jeon School

More information

Three Approaches for Nanopatterning

Three Approaches for Nanopatterning Three Approaches for Nanopatterning Lithography allows the design of arbitrary pattern geometry but maybe high cost and low throughput Self-Assembly offers high throughput and low cost but limited selections

More information

Resist-outgas testing and EUV optics contamination at NIST

Resist-outgas testing and EUV optics contamination at NIST 1 2012 International Workshop on EUVL, Maui, HI Resist-outgas testing and EUV optics contamination at NIST Shannon Hill, Nadir Faradzhev, Charles Tarrio, Steve Grantham, Lee Richter and Tom Lucatorto National

More information