Novel Approach for the Improvement of Post Exposure Delay Stability in ArF Resist Composed of Alicyclic Polymer

Size: px
Start display at page:

Download "Novel Approach for the Improvement of Post Exposure Delay Stability in ArF Resist Composed of Alicyclic Polymer"

Transcription

1 Journal of Photopolymer Science and Technology Volumel2,Number3(1999) TAPJ Novel Approach for the Improvement of Post Exposure Delay Stability in ArF Resist Composed of Alicyclic Polymer Jae-Chang Jung, Hyeong-Soo Kim, and Ki-Ho Baik Semiconductor Advanced Research Division, Hyundai Electronics Industries Co., San 136-1, Ami-ri, Bubal-eub, Ichon-si, Kyoungki-do, , Korea, Tel : , Fax : Gci_u_ngna r. hei. co. kr Ltd. To overcome post exposure delay (PED) effect caused by airborne contamination, novel concept of rheological approach was introduced. By changing the polymer structures in resist solution, shear thinning resists were made. The method to make shear thinning resist includes, using of shear thinning solvent, modification of polymer structure, and temperature control of resist solution. Shear thinning resists exhibit good PED stability. Keywords: shear thinning resist, post exposure delay, ArF resist, alicyclic polymer Introduction After a lot of research and development efforts, KrF DUV (X=248nm) lithography has been successfully introduced into high volume semiconductor manufacturing for 180nm applications and is being pushed to its limit down to sub-150nm regime. ArF DUV (? =193nm) lithography is rapidly emerging after the 248nm lithography because of the demand for further resolution improvement and wider DOF (Depth Of Focus). However, the 193nm lithography requires innovative development in various areas, such as laser sources, resist chemistry, and optics materials. A considerable progress has been made for the 193nm lithography recently. ArF excimer laser sources with high power and ArF resist with the high resolution, large DOF, good adhesion, and good etch resistance have been demonstrated. ArF optics with a new material (CaF2) and new multicoating lenses to reduce lenses damage have been demonstrated. Chemically amplified resist system was introduced as a best solution for DUV resist in order to cope with lower illumination power with maintaining high throughput and the only used resist system for application in DUV resist. [ 1 '4] However, in spite of its wide acceptance as production worthy materials, there was a obstacle to be solved, so-called "Post Exposure Delay(PED) effect". This PED effect deteriorated latent image of photoresist and formation of T-top profile which could make line width variations. [5~ l 0] By 14C labeling technique, it was found that the contamination by airborne was the main cause of PED effectj5,6,11] To overcome PED effect, a lot of research efforts have been performed. The annealing concept was introduced to solve PED effect problem. [ 12'- 16] Other methods for solving PED effect are addition of organic base[17, 18, 19], over coating method[20, 21], and using of acetal type polymer[22]. As the transition of wavelength from 248nm to 193nm, the chemistry related treatment methods for PED stability can not applied into 193nm resist materials. Because of the 193nm absorption of aromatic resin, the base resin of 193nm resist had to be an aliphatic resin.[23'32] In order to have etch resistance, the aliphatic resin had to contain cyclic moieties in its resin. However, these alicyclic moieties increased Tg of resin. To anneal these high Tg resin, annealing temperature, soft bake temperature (SB), also had to be high and post exposure bake(peb) also high for acid diffusion. But high PEB made poor profile by high diffusion of acid. In case of organic base additives, especially amine additive, the amine reacted with maleic anhydride and made amide linkage. Amide linkage highly absorbed 193nm radiation and made slope profile. In this Received Accepted April 20, 1999 June 1,

2 I. Photopolym. Sci. Technol., Vol.12, No.3, 1999 paper, we will scrutinize old method for PED effect and propose a new approach, "rheological approach" for PED stability study. Using this rheological method, we can apply to synthesis of highly PED stable photoresist for 193 nm. Experimental Preparation of polymer and photoresist solution As shown in 1, polymer was synthesized and supplied by Dong Jin Chem. Ind. Co., Ltd. as the same formula in early publications.[29, 30, 33] The triphenylsulfonium triflate (TPST) was used as a photo acid generator (PAG). To make a resist solution, polymer and TPST were dissolved in various solvents. The resist solutions were filtered through 0.2 µm membrane filter. held at this temperature for 3 min and they cooled at rate 20t/min. The exposures carried out on an ISI ArF stepper (X193nm, =NA=0.6) with Off-Axis Illumination. Results and Discussion Resist solvent dependency on PED effect The resist consists of the Poly[HNC/NC/ BNC/MA] (see 1), TPST as a PAG and PGMEA as a solvent. Under the severe amine contaminated environment ('35 ppb), when the SB and PEB conditions are 110 C for 90 s, we could not obtain any pattern up to 80 mj/cm2. 2 SEM micrographs for 0.13,um 1:1 VS patterns obtained with top coating 1 Synthetic scheme of Poly[HNC/NC/BNC/MA] Characterization The molecular weight of polymer was determined by gel permeation chromatography (GPC) in tetrahydrofuran using a TOSOH HLC The molecular weight of this synthesized polymer is between 5,700 and 1,000 and its poly dispersity is between 1.30 and The shear viscosities of resist solution were measured by an Advanced Rheometric Expansion System (ARES) (Rheometrics, Inc.). In the rheological measurements, a couette fixture (cup diameter=50mm; bob diameter=48mm; bob length=25mm) was employed and the shear rate sweep test carried out from 0.01 s' to 500 s' at 25 C. The thermal characterization studies were carried out on a Perkin-Elmer, DSC-7. The heating rate was 20 C /min and calibration of the instrument was carried out on a high purity indium and zinc. Before the dynamic scanning measurement, the samples were heated to 170 C, At the same bake condition with over coating materials, we obtained 0.13 tm 1:1 L/S pattern as shown in 2. This main difference was caused by amine contamination. However, with KrF exposure tool (NA=0.6) where chemical filter was installed to keep low amine contamination (< 1 ppb), as shown in 3 there is no big difference between resist and over coated resist. We thought that poor environmental stability was caused from large free volume of resist film, so airborne materials easily penetrated resist film through this free volume. To minimize this free volume, we tested several resist solvents that could make the polymer rigid rod or ellipsoidal structure. The basic concept is that if polymer has rigid rod or ellipsoidal structure at highly shear condition, it orients parallel to the share direction and is coated more tightly and small free volume will be formed. Of course, high shear condition means high rpm coating method of resist. 4 is the viscosity change to the share rate depending on the resist solvents. The resist solutions of Isobutyl methyl ketone (IBMK), 2-Heptanone (2-H), and (2- Methoxy)ethyl acetate (MEA) are shear thinning fluid but that of PGMEA is Newtonian fluid. From 4, we can guess the resist polymer shapes in 470

3 4 3 SEM micrographs for 0.16,um 1:1 L/S pattern obtained (a) with top coating, (b) without top coating using chemical filter established KrF exposure tool(2=248nm, 0.6 NA, OAI) Shear viscosity of various resist solution of Poly [HNC/NC/BNC/MA] solvents. In the case of IBMK solvent which is the most shear thinning resist, the polymers exist in the highly ellipsoidal structure and they are more tightly coated than any other solvent and small free volume will be formed during coating. From the order of shear thinning degree we can guess that the order of PED stability is IBMK, 2-H, MEA, and PGMEA. 5 is the real experimental data of optimum energy to obtain 0.24 tm L/S pattern for various PED times. When SB and PEB 5 Optimum exposure energy to obtain 0.24 pm 1:1 LIS under 35 ppb amine contaminated condition with resist solutions for various FED times. of IBMK is the most stable solvents for PED but there is no major difference among the other shear thinning resists. In the case of PGMEA (Newtonian fluid), it demonstrates poor PED stability. With 130 C/90 s SB and PEB condition, sub-0.20 tm patterns could not be obtained. When the SB condition is 150 C/90 s and PED condition is 140 C/90 s resist pattern up to 0.13µm L/S obtained pm 1:1 L/S patterns of 6 show PED stability of resist for various solvents. When we used PGMEA, vertical fine pattern could be obtained with 8 mj/cm2 exposure energy at 5 min PED delay. But in the case of 15 min delay, we could observe delay effect at the top of the patterns as shown in 6. After 30 min delay, there is serious delay effect and spade shape patterns were obtained. The exposure energy is 15 mj/cm2 that is about two times to compare with the case of 5 min delay. In the case of MEA solvent, the tendency of delay effect is similar to that of PGMEA but the exposure does is lower than PGMEA. In the case of 2-H solvent which is more shear thinning resist than MEA, though the pattern was collapsed in 6, better PED stability observed in profile than PGMEA. In addition to, the exposure energy is IOmJ/cm2 that is only 2mJ/cm2 bigger energy than 5 min delay case. When we used IBMK as a resist solvent it shows the highest shear thinning resist. As expectation, we can observe high PED stability of IBMK resist as shown in 6. Any serious change cannot be observed between 5 min and 15 min delay case in profile and exposure energy. In the case of 30 min delay, slight T- top profile 471

4 b 0.15 /tm 1:1 L/S patterns obtained under 35ppb amine contaminated condition for time with Poly[HNC/NC/BNC/MA]. various PED 472

5 J. Photopolym. Sci. Technol., Vo1.12, No.3, um 1:1 L/S patterns obtained under 35ppb amine contaminated condition for various PED time with polymer (A) tm 1:1 L/S patterns obtained PED time with polymer (B). under 35ppb amine contaminated condition for various tm 1:1 L/S patterns obtained under 35ppb amine contaminated condition for S min PED with Poly [HNC/NC/BNC/MA]. 473

6 ,um 1:1 L/S patterns obtained under 35ppb amine contaminated condition for 25 min PED with polymer(a). 11 Depth of focus of 0.13 pm 1:1 L/S patterns obtained under 35ppb amine contaminated condition for 35 min FED with polymer(a). Exposure energy is 30mJ/cm and 0.15pm 1:1 LJS patterns obtained under 35ppb amine contaminated condition for various FED time with Poly[HNC/NC/BNC/MA]. Resist solution temperatures are 40 and 50 ~: 474

7 observed and exposure energy is 3mJ/cm2 higher energy than 5 min delay case. However, the low boiling point of IBMK makes it difficult to use as a resist solvent due to the thickness variation. Therefore, we selected 2-H solvent as a standard resist solvent in the following evaluation. Resin structure dependency on PED effect From the solvent dependency of PED effect, we observed that when resist polymer existed in rigid rod or ellipsoidal structure in solvent, it showed good PED stability. Therefore, we have focused on the development of new resin that has pseudo shear thinning fluid in solvent. Two kinds of resists were developed with very tiny modification of Poly[HNC/NC/ BNC/MA]. 7 is the 0.15 µm L/S patterns that obtained by using type (A) polymer for various delay times. The polymer (A) is shear-thinning fluid in both PGMEA and 2-H. From the profile of delayed patterns of 7, resists that formulated with polymer (A) show strong PED stability in both PGMEA and 2-H solvent. The vertical profiles of 7 give strong evidence that the resist structure in solvent affects the PED stability. The resists of 2-H require 26 mj/cm2 exposure energy for 35 min delay and 20 mj/cm2 min for 10 min delay case. But the resist of PGMEA requires 35 mjlcm2 exposure energy for 35 min delay and 25 mjlcm2 min for 10 min delay case. 2-H resist requires 30% more energy in 35 min delay case than 10 min delay case and PGMEA resist requires 40% more energy, which can be explained as solvent dependency of PED effect as explained previous section. 8 shows SEM micrographs of Polymer (B) resist for PED variation. Of course, Polymer (B) is also shear thinning fluid in both PGMEA and 2-H solvent. Because of stronger PED stability of 2-H solvent, PED effect was tested for 2-H solvent case only. Polymer (B) shows high PED stability as Polymer (A) resist. After 5 min delay, the resolution limit of poly[hnc/nc/bnc.ma] with various solvent is 0.13 µm as shown in and 11 indicate the resolution limit of polymer (A) resist with various delay times µm L/S pattern can be obtained after 25 and 35 min PED under severe amine contaminated condition (35ppb). If we assume that the only mechanism of PED effect is amine contamination and transfer of amine into the wafer is controlled by effusion, 35 min delay corresponds to 6 hours delay in 1 ppb amine contaminated condition. 12 is the DSC curves of Poly[HNC/NC/BNC/MA], polymer (A), and polymer (B). No major change was detected in DSC curves. As we made tiny modification for making shear-thinning polymer, detectable change would not happen. To compare with PB condition and thermal history of DSC, we conclude that the PED stability of Polymer (A) and (B) is not caused by annealing effect but by shear thinning effect of polymer in solution. Solution temperature dependency of resist on PED effect As structure of polymer in solution is strongly dependent upon temperature, perturbation of polymer structure by controlling of temperature of resist solution can be a solution for PED effect. As shown in 13, when the coating temperature of resist solution is 40 and 50 C, PED stability enhanced. The resolution limit of high temperature coated resist after 10 and 20 min delay was shown in Fig 13. This solution temperature effect also can be evidence that polymer structure in resist solution can increase PED stability of resist. 12. DSC curves of three type of polymer Conclusions To overcome PED effect caused by airborne contamination, we have focused on changing the polymer structures in resist solution and found that shear thinning resists made by changing solvent, modification of polymer structure, and resist solution temperature exhibit good PED stability. Using these methods, 0.13 tm L/S patterns can be obtained in severe amine contaminated environment (35ppb) after 35 min PED. Acknowledgements The authors would like to express our thanks to 475

8 Dr. I1-Hyun Choi and Dr. Dae-Noon Lee for their supports and interests for this project and to Chi- Hyeong Roh and Keun-Kyu Kong for the assistance of new resin synthesis and resist evaluation. We thank to Seok-Ho Hwang of KITECH for the shear viscosity study and Dr. Joo- Hyun Park and Dr. Sung-Joo Kim of Kumho Petrochemical Co. for supplying TSPT. We also thank Se-Jin Choi, Hyun-Jin Kim, Seung-Il Hyun, Deog-Bae Kim of Dong Jin Chem. Ind. Co., for the supplying of Poly[HNC/NCIBNC/MA]. References 1. H. Ito C. G. Willson, Technical Papers of SPE Regional Conference on Photopolymers, (1982) H. Ito, C. G. Willson, and J. Frechet, Digest of Technical Papers of 1982 Symposium on VLSI Technology, (1982) H. Ito, C. G. Willson, and J. Frechet, US Patent No. 4,491,628, (Jan. 1, 1985) 4. H. Ito, in "New Aspects of Radiation Curing in Polymer Science and Technology ", J. P. Fouassier and J. F. Rabek, eda., Elsevier, London, 4, (1993) Chapter S. A. MacDonald, N. J. Clecak, H. R. Wendt, C. G. Willson, C. D. Snyder, C. J. Knors, N. B. Deyoe, J. G. Maltabes, J. R. Morrow, A. E. McGuire, and S. J. Holmes, Proc. SPIE, 2, (1990) S. A. MacDonald, W. D. Hinsberg, H. R. Wendt, N. J. Clecak, C. G. Willson, and C. D. Snyder, Chem. Mater., 5, (1993) Nalamasu, E. Reichmanis, M. Cheng, V. Pot, J. M. Kometani, F. M. Houlihan, T. X. Neenan, M. P. Bohrer, D. A. Mixon, L. F. Thompson, and C. Takemoto, Proc. SPIE, 1466, (1991) G. Schwartzkopf, N. N. Niazy, S. Das, G. Surendran, and J. B. Covington, Proc. SPIE, 1466, (1991) L. Schlegel, T. Ueno, N. Hayashi, and T. Iwayanagi, J. Vac. Sci. Technol., B9(2), (1991) H. Ban. J. Nakamura, K. Deguchi, and A. Tanaka, J. Vac. Sci. Technol., B9(6), (1991) W. D. Hinsberg, S. A. MacDonald, N. J. Clecak, and C. D. Snyder, J. Photopolymer Sci. Technol., 6, (1993) W. D. Hinsberg, S.A. MacDonald, N. J. Clecak, C. D. Snyder, and H. Ito, Proc. SPIE, 1925, (1993) H. Ito, W. P. England, R. Sooriyakumaran, N. J. Clecak, G. Breyta, W. D. Hinsberg, H. Lee, and D. Y. Yoon, J. Photopolymer Sci. and Technol., 6, (1993) G. Breyta, D. C. Hofer, H. Ito, D. Seeger, K. Petrillo, H. Moritz, and T. Fischer, J. Photopolymer Sci. and Technol, 7, (1994) H. Ito, G. Breyta, D. Hofer, R. Sooriyakumaran, K. Petrillo, and D. Seeger, J. Photopolymer Sci. and Technol, 7, (1994) H. Ito, G. Breyta, R. Sooriyakumaran, and D. Hofer, J. Photopolymer Sci. and Technol, 8, (1995) Y. Kawai, A. Otaka, J. Nakamura, A. Tanaka, and T. Matsuda, J. Photopolymer Sci. and Technol., 8, (1995) S. Saito, N. Kihara, T. Naito, M. Nakase, T. Nakasugi, and Y. Kato, J. Photopolymer Sci. and Technol., 9, (1996) S. Funato, Y. Kinoshita, T. Kuto, S. Masuda, H. Okazaki, M. Padmanaban, K. J. Przybilla, N. Suehiro, and G. Pawlowski, J. Photopolymer Sci. and Technol., 8, (1995) J. Nakamura, H. Ban, Y. Kawai, and A. Tanaka, J. Photopolymer Sci. and Technol., 8, (1995) A. Oikawa, Y. Hatakenaka, Y. Ikeda, Y. Kokubo, S. Miyata, N. Santoh, and N. Abe, J. Photopolymer Sci. and Technol., 8, (1995) T. Hattori, A. Imai, R. Yamanaka, T. Ueno, and H. Shiraishi, J. Photopolymer Sci. and Technol., 9, (1996) R. D. Allen et al., J. Photopolymer Sci. and Technol., 11, (1998) R. D. Allen et al., Proc. SPIE, 2724, (1996) R. D. Allen et al., J. Photopolymer Sci. and Technol., 9, (1996) K. Nozaki and E. Yano, J. Photopolymer Sci. and Technol., 10, (1997) K. Nakano, K. Maeda, S. Iwasa, and E. Hasegawa, J.Photopolymer Sci. and Technol., 10, (1997) Thomas I. Wallow, Francis M. Houlihan, Omkaram Nalamasu, Edwin A. Chandross, Thomas X. Neenan, and Elsa Reichmanis, Proc. SPIE, 2724, (1996) J. C. Jung, C. K. Bok, and K. H. Baik, Proc. SPIE, 3333, (1998) J. C. Jung, M. H. Jung, and K. H. Baik, J. Photopolymer Sci. and Technol, 11, (1998) U. Okoroanyanwu, J. Byers, T. Shimokawa, and C. G. Wilson, Chem. Mater. 10, (1998) J. Byers, K. Patterson, S. Cho., M. McCallum, C. G. Willson, J. Photopolymer Sci. and Technol., 11, (1998) J. C. Jung, C. K. Bok, and K. H. Baik, J. Photopolymer Sci. and Technol, 10, (1997)

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XIV, SPIE Vol. 3049, pp. 706-711. It

More information

Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation

Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation Study of Deprotection Reaction during Exposure in hemically Amplified Resists for Lithography Simulation Yasuhiro Miyake, Mariko Isono and Atsushi Sekiguchi Litho Tech Japan orporation, 2-6-6-201, Namiki,

More information

Hiroshi Ito and Mark Sherwood. IBMAlmaden Research Center 650 Harry Road San Jose, CA 95120, U S. A ibm. com.

Hiroshi Ito and Mark Sherwood. IBMAlmaden Research Center 650 Harry Road San Jose, CA 95120, U S. A ibm. com. Journal of Photopolymer Science and Technology Volumel2,Number4(1999) 625-636 1999TAPJ Investigation of Deep UV Solvents, Chemistries, Resists by NMR: Residual and PAG Decomposition in Casting Film Hiroshi

More information

DUV Positive Photoresists

DUV Positive Photoresists Journal of Photopolymer Science and Technology Volume 5, Number 1(1992) 207-216 Factors Affecting the Performance of Chemically DUV Positive Photoresists Amplified James W. Thackeray, Theodore H. Fedynyshyn,

More information

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Photolithography Overview  9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview http://www.intel.com/research/silicon/mooreslaw.htm 1 Moore s law only holds due to photolithography advancements in reducing linewidths 2 All processing to create electric components and circuits rely

More information

Development of Lift-off Photoresists with Unique Bottom Profile

Development of Lift-off Photoresists with Unique Bottom Profile Transactions of The Japan Institute of Electronics Packaging Vol. 8, No. 1, 2015 [Technical Paper] Development of Lift-off Photoresists with Unique Bottom Profile Hirokazu Ito, Kouichi Hasegawa, Tomohiro

More information

DIFFUSION OF ACID AND ACTIVATION ENERGY OF POSITIVE CHEMICAL AMPLIFICATION RESIST

DIFFUSION OF ACID AND ACTIVATION ENERGY OF POSITIVE CHEMICAL AMPLIFICATION RESIST Journal of Photopolymer Science and Technology Volume 6, Number 4(1993) 505-514 1993TAPJ DIFFUSION OF ACID AND ACTIVATION ENERGY OF POSITIVE CHEMICAL AMPLIFICATION RESIST Koi 7ASAKAWA Toshiba Research

More information

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center Functional Materials for Advanced Patterning Robert D. Allen Business Unit or Product Name IBM Almaden Research Center 2003 IBM Corporation Resists/Materials for Advanced Patterning Trends in Lithography

More information

Next: 193nm Lithography

Next: 193nm Lithography Lecture 16 Chemical Engineering for Micro/Nano Fabrication Next: 193nm Lithography Absorption coefficient [1/µm] Absorption of Photoresist Polymers 2.8 Poly-(4-hydroxystyrene) 2.4 Meta-cresol novolak 2.0

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Introduction. Photoresist : Type: Structure:

Introduction. Photoresist : Type: Structure: Photoresist SEM images of the morphologies of meso structures and nanopatterns on (a) a positively nanopatterned silicon mold, and (b) a negatively nanopatterned silicon mold. Introduction Photoresist

More information

Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography

Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography MCROU,!~'O~C ELSEVER Microelectronic Engineering 46 (1999) 173-177 Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography DUV Holographic L. A. Wang*, C. H. Lin and J. H. Chen nstitute

More information

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists INTERFACE '95 This paper was published in the proceedings of the OCG Microlithography Seminar, Interface '95, pp. 217-228. It is made available as an electronic reprint with permission of OCG Microelectronic

More information

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node Takahiro Kozawa and Seiichi Tagawa The Institute of Scientific and Industrial Research, Osaka University, 8-1

More information

Novel Molecular Materials Based on Noria and Double Calixarene (Beryllus) for EB and EUV Resist Systems

Novel Molecular Materials Based on Noria and Double Calixarene (Beryllus) for EB and EUV Resist Systems 2010 International Workshop on EUV Lithography Makena Beach Golf Resort June 21-25, 25, 2010, Maui, Hawaii Novel Molecular Materials Based on Noria and Double Calixarene (Beryllus) for EB and EUV Resist

More information

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists Nickhil Jakatdar 1, Junwei Bao, Costas J. Spanos Dept. of Electrical Engineering and Computer Sciences, University

More information

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device Hyung-Seop Kim, Yong Min Cho, Byoung-Ho Lee Semiconductor R&D Center, Device Solution Business, Samsung

More information

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

Methodology of modeling and simulating line-end shortening effects in deep-uv resist Methodology of modeling and simulating line-end shortening effects in deep-uv resist Mosong Cheng*, Ebo Croffie, Andrew Neureuther Electronics Research Laboratory Department of Electrical Engineering and

More information

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography 1 Reference 1. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (2001) 2. - (2004) 3. Semiconductor Physics and Devices-

More information

Photosensitive Polyimide for Packaging Applications

Photosensitive Polyimide for Packaging Applications Journal of Photopolymer Science and Technology Volume 28, Number 1 (2015) 73 77 2015SPST Photosensitive Polyimide for Packaging Applications Masao Tomikawa, Ryoji kuda, and Hiroyuki hnishi Electronic &

More information

Laser Crystallization of Organic-Inorganic Hybrid

Laser Crystallization of Organic-Inorganic Hybrid Supporting information Laser Crystallization of Organic-Inorganic Hybrid Perovskite Solar Cells Taewoo Jeon, Hyeong Min Jin, Seung Hyun Lee, Ju Min Lee, Hyung Il Park, Mi Kyung Kim, Keon Jae Lee, Byungha

More information

A Study of Resolution Limits Due to Intrinsic Bias in Chemically Amplified Photoresists.

A Study of Resolution Limits Due to Intrinsic Bias in Chemically Amplified Photoresists. A Study of Resolution Limits Due to Intrinsic Bias in Chemically Amplified Photoresists. Sergei V. Postnikov, Michael D. Stewart, Hoang Vi Tran, Mark A. Nierode, David R. Medeiros, T. Cao, Jeffrey Byers,

More information

Overview of the main nano-lithography techniques

Overview of the main nano-lithography techniques Overview of the main nano-lithography techniques Soraya Sangiao sangiao@unizar.es Outline Introduction: Nanotechnology. Nano-lithography techniques: Masked lithography techniques: Photolithography. X-ray

More information

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning SEMI Texas Spring Forum May 21, 2013 Austin, Texas Author / Company / Division / Rev. / Date A smartphone today has

More information

EUV Resist-Fundamental Research

EUV Resist-Fundamental Research EUV Resist-Fundamental Research Akinori Saeki, Hiroki Yamamoto, Takahiro Kozawa, and Seiichi Tagawa The Institute of Scientific and Industrial Research, Osaka University CREST, Japan Science and Technology

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

A Parameter Extraction Framework for DUV Lithography Simulation

A Parameter Extraction Framework for DUV Lithography Simulation A Parameter Extraction Framework for DUV Lithography Simulation Nickhil Jakatdar 1, Junwei Bao, Costas J. Spanos Dept. of Electrical Engineering and Computer Sciences, University of California at Berkeley,

More information

Thermally Stable Photoreactive Polymers as a Color Filter Resist Bearing Acrylate and Cinnamate Double Bonds

Thermally Stable Photoreactive Polymers as a Color Filter Resist Bearing Acrylate and Cinnamate Double Bonds Macromolecular Research, Vol. 16, No. 1, pp 31-35 (2008) Thermally Stable Photoreactive Polymers as a Color Filter Resist Bearing Acrylate and Cinnamate Double Bonds Seung Hyun Cho Polymer Technology Institute,

More information

Laser induced chemical and physical modifications of polymer films: dependence on the irradiation wavelength

Laser induced chemical and physical modifications of polymer films: dependence on the irradiation wavelength Ž. Applied Surface Science 109r110 1997 227 231 Laser induced chemical and physical modifications of polymer films: dependence on the irradiation wavelength T. Lippert a,), T. Nakamura b, H. Niino b, A.

More information

Supplementary Information

Supplementary Information Supplementary Information Experimental Section Hybrid Nanoparticle Synthesis The hafnium nanoparticles surface-modified with methacrylic acid (MAA, Sigma Aldrich, 99%), trans 2, 3-dimethylacrylic acid

More information

PHOTOCHEMICAL PHOTOPHYSICAL STUDIES ON CHEMICALLY AMPLIFIED RESISTS

PHOTOCHEMICAL PHOTOPHYSICAL STUDIES ON CHEMICALLY AMPLIFIED RESISTS Journal of Photopolymer Science and Technology Volume 5, Number 1(1992) 35-46 PHOTOCHEMICAL AND PHOTOPHYSICAL STUDIES ON CHEMICALLY AMPLIFIED RESISTS NIGEL P. HACKER, DONALD C. HOFER and KEVIN M. WELSH

More information

Vertical Alignment of Reduced Graphene Oxide/Fe-oxide Hybrids Using the Magneto-Evaporation Method

Vertical Alignment of Reduced Graphene Oxide/Fe-oxide Hybrids Using the Magneto-Evaporation Method Electronic Supplementary Information (ESI) Vertical Alignment of Reduced Graphene Oxide/Fe-oxide Hybrids Using the Magneto-Evaporation Method Sang Cheon Youn, Dae Woo Kim, Seung Bo Yang, Hye Mi Cho, Jae

More information

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking Improving resist resolution and sensitivity via electric-field enhanced postexposure baking Mosong Cheng, a) Lei Yuan, Ebo Croffie, and Andrew Neureuther Electronics Research Laboratory, University of

More information

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media.

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media. originates from the limited number of options regarding orthogonal solvents, i.e. solvents that do not dissolve or adversely damage a pre-deposited organic materials layer. The simplest strategy to achieve

More information

Acid Quantum Efficiency of Anion-bound Chemically Amplified Resists upon Exposure to Extreme Ultraviolet Radiation

Acid Quantum Efficiency of Anion-bound Chemically Amplified Resists upon Exposure to Extreme Ultraviolet Radiation Journal of Photopolymer Science and Technology Volume 28, Number 4 (2015) 501 505 2015SPST Acid Quantum Efficiency of Anion-bound Chemically Amplified Resists upon Exposure to Extreme Ultraviolet Radiation

More information

Ali Hayek, a Yongan Xu, b Takashi Okada, a Stephen Barlow, a Xuelian Zhu, b Jun Hyuk Moon, b Seth R. Marder, * a and Shu Yang* b

Ali Hayek, a Yongan Xu, b Takashi Okada, a Stephen Barlow, a Xuelian Zhu, b Jun Hyuk Moon, b Seth R. Marder, * a and Shu Yang* b Supporting Information for: Poly(glycidyl methacrylate)s with controlled molecular weights as lowshrinkage resins for 3D multibeam interference lithography Ali Hayek, a Yongan Xu, b Takashi Okada, a Stephen

More information

Supplementary Information for. Origin of New Broad Raman D and G Peaks in Annealed Graphene

Supplementary Information for. Origin of New Broad Raman D and G Peaks in Annealed Graphene Supplementary Information for Origin of New Broad Raman D and G Peaks in Annealed Graphene Jinpyo Hong, Min Kyu Park, Eun Jung Lee, DaeEung Lee, Dong Seok Hwang and Sunmin Ryu* Department of Applied Chemistry,

More information

Relationships between Stochastic Phenomena and Optical Contrast in Chemically Amplified Resist Process of Extreme Ultraviolet Lithography

Relationships between Stochastic Phenomena and Optical Contrast in Chemically Amplified Resist Process of Extreme Ultraviolet Lithography Journal of Photopolymer Science and Technology Volume 7, Number () 9 SPST Relationships between Stochastic Phenomena and Optical Contrast in Chemically Amplified Resist Process of Extreme Ultraviolet Lithography

More information

Ferroelectric Zinc Oxide Nanowire Embedded Flexible. Sensor for Motion and Temperature Sensing

Ferroelectric Zinc Oxide Nanowire Embedded Flexible. Sensor for Motion and Temperature Sensing Supporting information for: Ferroelectric Zinc Oxide Nanowire Embedded Flexible Sensor for Motion and Temperature Sensing Sung-Ho Shin 1, Dae Hoon Park 1, Joo-Yun Jung 2, Min Hyung Lee 3, Junghyo Nah 1,*

More information

Photosensitive polyimides without side chain: negative-tone reaction development patterning

Photosensitive polyimides without side chain: negative-tone reaction development patterning Photosensitive polyimides without side chain: negative-tone reaction development patterning Toshiyuki yama Department of Advanced Materials Chemistry, Faculty of Engineering, Yokohama ational University

More information

Ambient-protecting organic light transducer grown on pentacenechannel of photo-gating complementary inverter

Ambient-protecting organic light transducer grown on pentacenechannel of photo-gating complementary inverter Electronic Supplementary information Ambient-protecting organic light transducer grown on pentacenechannel of photo-gating complementary inverter Hee Sung Lee, a Kwang H. Lee, a Chan Ho Park, b Pyo Jin

More information

Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication

Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication Benjamen M. Rathsack, Cyrus E. Tabery, Timothy B. Stachowiak, Jeff Albelo 2 and C. Grant Willson Department

More information

Soft Baking Effect on Lithographic Performance by Positive Tone Photosensitive Polyimide

Soft Baking Effect on Lithographic Performance by Positive Tone Photosensitive Polyimide Journal of Photopolymer Science and Technology Volume 23, Number 6(2010) 775-779 2010CPST Soft Baking Effect on Lithographic Performance by Positive Tone Photosensitive Polyimide Tomoyuki Yuba, Ryoji Okuda,

More information

Scheme 1: Reaction scheme for the synthesis of p(an-co-mma) copolymer

Scheme 1: Reaction scheme for the synthesis of p(an-co-mma) copolymer Electronic Supplementary Material (ESI) for RSC Advances. This journal is The Royal Society of Chemistry 2016 Design and Development of Poly (acrylonitrile-co-methyl methacrylate) Copolymer to Improve

More information

Coating of Tetraethylorthosilicate (TEOS)/Vinyltriethoxysilane (VTES) Hybrid Solution on Polymer Films

Coating of Tetraethylorthosilicate (TEOS)/Vinyltriethoxysilane (VTES) Hybrid Solution on Polymer Films Journal of Sol-Gel Science and Technology 13, 409 413 (1998) c 1998 Kluwer Academic Publishers. Manufactured in The Netherlands. Coating of Tetraethylorthosilicate (TEOS)/Vinyltriethoxysilane (VTES) Hybrid

More information

Electron-beam SAFIER process and its application for magnetic thin-film heads

Electron-beam SAFIER process and its application for magnetic thin-film heads Electron-beam SAFIER process and its application for magnetic thin-film heads XiaoMin Yang, a) Harold Gentile, Andrew Eckert, and Stanko R. Brankovic Seagate Research Center, 1251 Waterfront Place, Pittsburgh,

More information

Composition and Photochemical Mechanisms of Photoresists

Composition and Photochemical Mechanisms of Photoresists OpenStax-CNX module: m25525 1 Composition and Photochemical Mechanisms of Photoresists Andrew R. Barron This work is produced by OpenStax-CNX and licensed under the Creative Commons Attribution License

More information

Surface Properties of EUVL. Laser Shock Cleaning (LSC)

Surface Properties of EUVL. Laser Shock Cleaning (LSC) 2007 International EUVL Symposium, Japan Surface Properties of EUVL Mask Layers after High Energy Laser Shock Cleaning (LSC) Tae-Gon Kim, Young-Sam Yoo, Il-Ryong Son, Tae-Geun Kim *, Jinho Ahn *, Jong-Myoung

More information

EUV Reflectometry for Determining the Optical Properties of Photoresists and Underlayer Materials Upon Irradiation at 13.5-nm

EUV Reflectometry for Determining the Optical Properties of Photoresists and Underlayer Materials Upon Irradiation at 13.5-nm EUV Reflectometry for Determining the Optical Properties of Photoresists and Underlayer Materials Upon Irradiation at 13.5-nm Grace H. Ho, 1 Fu-H. Kang, 1 Yu-H. Shih, 1 Hok-S. Fung, Hwang-W. Fu, Rikimaru

More information

Novel Supercapacitor Materials Including OLED emitters

Novel Supercapacitor Materials Including OLED emitters Electronic Supplementary Material (ESI) for New Journal of Chemistry. This journal is The Royal Society of Chemistry and the Centre National de la Recherche Scientifique 2015 Supporting Information Novel

More information

Van der Waals Interaction between Polymer Aggregates and Substrate Surface Analyzed by Atomic Force Microscope (AFM)

Van der Waals Interaction between Polymer Aggregates and Substrate Surface Analyzed by Atomic Force Microscope (AFM) Journal of Photopolymer Science and Technology Volume 15,Number 1(2002)127-132 2002TAPJ L Van der Waals Interaction between Polymer Aggregates and Substrate Surface Analyzed by Atomic Force Microscope

More information

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks Hongseong Sohn and John Tracy Akrion Systems 6330 Hedgewood Drive, Suite 150 Allentown, PA 18106, USA Abstract

More information

Photolithography 光刻 Part II: Photoresists

Photolithography 光刻 Part II: Photoresists 微纳光电子材料与器件工艺原理 Photolithography 光刻 Part II: Photoresists Xing Sheng 盛兴 Department of Electronic Engineering Tsinghua University xingsheng@tsinghua.edu.cn 1 Photolithography 光刻胶 负胶 正胶 4 Photolithography

More information

Supplementary Information

Supplementary Information Supplementary Information Facile preparation of superhydrophobic coating by spraying a fluorinated acrylic random copolymer micelle solution Hui Li, a,b Yunhui Zhao a and Xiaoyan Yuan* a a School of Materials

More information

High Optical Density Photomasks For Large Exposure Applications

High Optical Density Photomasks For Large Exposure Applications High Optical Density Photomasks For Large Exposure Applications Dan Schurz, Warren W. Flack, Makoto Nakamura Ultratech Stepper, Inc. San Jose, CA 95134 Microlithography applications such as advanced packaging,

More information

Passionately Innovating With Customers To Create A Connected World

Passionately Innovating With Customers To Create A Connected World Passionately Innovating With Customers To Create A Connected World Multi Die Integration Can Material Suppliers Meet the Challenge? Nov 14, 2012 Jeff Calvert - R&D Director, Advanced Packaging Technologies

More information

Top down and bottom up fabrication

Top down and bottom up fabrication Lecture 24 Top down and bottom up fabrication Lithography ( lithos stone / graphein to write) City of words lithograph h (Vito Acconci, 1999) 1930 s lithography press Photolithography d 2( NA) NA=numerical

More information

Aziridine in Polymers: A Strategy to Functionalize Polymers by Ring- Opening Reaction of Aziridine

Aziridine in Polymers: A Strategy to Functionalize Polymers by Ring- Opening Reaction of Aziridine Electronic Supplementary Material (ESI) for Polymer Chemistry. This journal is The Royal Society of Chemistry 2015 Electronic Supplementary Information (ESI) Aziridine in Polymers: A Strategy to Functionalize

More information

ZEP520 ZEP520. Technical Report. ZEON CORPORATION Specialty Materials Division. High Resolution Positive Electron Beam Resist.

ZEP520 ZEP520. Technical Report. ZEON CORPORATION Specialty Materials Division. High Resolution Positive Electron Beam Resist. Technical Report ZEP52 ZEP52 Ver.1.2 Mar.21 ZEONREX Electronic Chemicals High Resolution Positive Electron Beam Resist ZEP52 ZEON CORPORATION Specialty Materials Division Headquarters R&D Center Furukawa

More information

Nanostructures Fabrication Methods

Nanostructures Fabrication Methods Nanostructures Fabrication Methods bottom-up methods ( atom by atom ) In the bottom-up approach, atoms, molecules and even nanoparticles themselves can be used as the building blocks for the creation of

More information

Novel metrology for measuring spectral purity of KrF lasers for deep UV lithography

Novel metrology for measuring spectral purity of KrF lasers for deep UV lithography Novel metrology for measuring spectral purity of KrF lasers for deep UV lithography Alex I. Ershov, G.G. Padmabandu, Jeremy Tyler, Palash P. Das Cymer, Inc. 16750 Via Del Campo Court, San Diego, CA 92127

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE143 Professor Ali Javey Fall 2009 Exam 1 Name: SID: Closed book. One sheet of notes is allowed.

More information

Supporting Information

Supporting Information Electronic Supplementary Material (ESI) for ChemComm. This journal is The Royal Society of Chemistry 2014 Supporting Information High-k Polymer/Graphene Oxide Dielectrics for Low-Voltage Flexible Nonvolatile

More information

Single Layer Fluoropolymer Resists for 157 nm Lithography

Single Layer Fluoropolymer Resists for 157 nm Lithography Single Layer Fluoropolymer Resists for 157 nm Lithography M. K. Crawford *, W. B. Farnham, A. E. Feiring, J. Feldman, R. H. French, K. W. Leffew, V. A. Petrov, W. Qiu, F. L. Schadt III, H.V. Tran, R. C.

More information

Photocure Reactions of Photoreactive Prepolymers with Cinnamate Groups

Photocure Reactions of Photoreactive Prepolymers with Cinnamate Groups Photocure Reactions of Photoreactive Prepolymers Bull. Korean hem. Soc. 2011, Vol. 32, No. 3 993 DI 10.5012/bkcs.2011.32.3.993 Photocure Reactions of Photoreactive Prepolymers with innamate Groups Whan

More information

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XVI, SPIE Vol. 3678, pp. 1-1011. It

More information

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like Far IR Gas Lasers 10-1500 microns wavelengths, 300 10 THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like microwave signal than light Created by Molecular vibronic

More information

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source Eric Gullikson Lawrence Berkeley National Laboratory 1 Reflectometry and Scattering Beamline (ALS 6.3.2) Commissioned Fall 1994

More information

Development of Photosensitive Polyimides for LCD with High Aperture Ratio. May 24, 2004

Development of Photosensitive Polyimides for LCD with High Aperture Ratio. May 24, 2004 Development of Photosensitive Polyimides for LCD with High Aperture Ratio May 24, 2004 utline Why is polymer dielectric required for TFT LCD? Requirements of the polymer dielectrics What is polyimide?

More information

Recent progress in nanoparticle photoresist development for EUV lithography

Recent progress in nanoparticle photoresist development for EUV lithography Recent progress in nanoparticle photoresist development for EUV lithography Kazuki Kasahara ab, Vasiliki Kosma b, Jeremy Odent b, Hong Xu b, Mufei Yu b, Emmanuel P. Giannelis b, Christopher K. Ober b a

More information

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XVIII, SPIE Vol. 4345, pp. 1013-1021.

More information

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Senichi Nishibe a, Thaddeus Dziura a, Venkat Nagaswami a, Roel Gronheid b a KLA-Tencor Corporation, 1 Technology Drive, Milpitas

More information

Solubility of carbon dioxide in aqueous solutions of 2-amino-2-ethyl-1,3-propanediol

Solubility of carbon dioxide in aqueous solutions of 2-amino-2-ethyl-1,3-propanediol Fluid Phase Equilibria 202 (2002) 359 366 Solubility of carbon dioxide in aqueous solutions of 2-amino-2-ethyl-1,3-propanediol Jung-Yeon Park a, Sang Jun Yoon a, Huen Lee a,, Ji-Ho Yoon b, Jae-Goo Shim

More information

Curing Properties of Cycloaliphatic Epoxy Derivatives

Curing Properties of Cycloaliphatic Epoxy Derivatives Curing Properties of Cycloaliphatic Epoxy Derivatives Hiroshi Sasaki Toagosei Co. Ltd. Nagoya, Japan Introduction UV-cationic-curing, based on the photo-generation of acid and consecutive cationic polymerization,

More information

Application of Stochastic Modeling to Resist Optimization Problems

Application of Stochastic Modeling to Resist Optimization Problems Application of Stochastic Modeling to Resist Optimization Problems John J. Biafore, Mark D. Smith KLA-Tencor, FINLE Division, North Capital of Texas Highway, Austin, TX 779 BACKGROUND: Modifying specific

More information

FINE PATTERN ETCHING OF SILICON USING SR-ASSISTED IONIZATION OF CF4 GAS

FINE PATTERN ETCHING OF SILICON USING SR-ASSISTED IONIZATION OF CF4 GAS Technical Paper Journal of Photopolymer Science and Technology Volume 6, Number 4(1993) 617-624 1993TAPJ FINE PATTERN ETCHING OF SILICON USING SR-ASSISTED IONIZATION OF CF4 GAS YASUO NARA, YosHIHto SUGITA,

More information

RLS Trade-Off: Questions about Molecular Size and Quantum Yield

RLS Trade-Off: Questions about Molecular Size and Quantum Yield RLS Trade-Off: Questions about Molecular Size and Quantum Yield Robert Brainard and Craig Higgins Supported by SEMATECH and Rohm and Haas 10/2/08 1 Outline I. Introduction II. III. IV. Effect of Molecular

More information

A Photonic Crystal Laser from Solution Based. Organo-Lead Iodide Perovskite Thin Films

A Photonic Crystal Laser from Solution Based. Organo-Lead Iodide Perovskite Thin Films SUPPORTING INFORMATION A Photonic Crystal Laser from Solution Based Organo-Lead Iodide Perovskite Thin Films Songtao Chen 1, Kwangdong Roh 2, Joonhee Lee 1, Wee Kiang Chong 3,4, Yao Lu 5, Nripan Mathews

More information

An Optimal Substrate Design for SERS: Dual-Scale Diamond-Shaped Gold Nano-Structures Fabricated via Interference Lithography

An Optimal Substrate Design for SERS: Dual-Scale Diamond-Shaped Gold Nano-Structures Fabricated via Interference Lithography Supporting Information An Optimal Substrate Design for SERS: Dual-Scale Diamond-Shaped Gold Nano-Structures Fabricated via Interference Lithography Hyo-Jin Ahn a, Pradheep Thiyagarajan a, Lin Jia b, Sun-I

More information

Defense Technical Information Center Compilation Part Notice

Defense Technical Information Center Compilation Part Notice UNCLASSIFIED Defense Technical Information Center Compilation Part Notice ADP12139 TITLE: Nano-Sized [6]Fullerene-Cyclodextrin Molecules DISTRIBUTION: Approved for public release, distribution unlimited

More information

Nanosphere Lithography

Nanosphere Lithography Nanosphere Lithography Derec Ciafre 1, Lingyun Miao 2, and Keita Oka 1 1 Institute of Optics / 2 ECE Dept. University of Rochester Abstract Nanosphere Lithography is quickly emerging as an efficient, low

More information

Exploration of non-chemically amplified resists based on dissolution inhibitors for 193 nm lithography

Exploration of non-chemically amplified resists based on dissolution inhibitors for 193 nm lithography Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 2010 Exploration of non-chemically amplified resists based on dissolution inhibitors for 193 nm lithography Burak

More information

Synthetic Fused Silica Optical and technical grades

Synthetic Fused Silica Optical and technical grades SCHOTT LITHOTEC FUSED SILICA Synthetic Fused Silica Optical and technical grades Schott Lithotec Fused Silica LithosilTMQ is available in six different quality grades: LithosilTMQT is not specified concerning

More information

Synthesis of Zeolite Composite Membranes for CO2 Separation

Synthesis of Zeolite Composite Membranes for CO2 Separation Synthesis of Zeolite Composite Membranes for CO2 Separation April. 10. 2003 Sang Hoon Hyun, Dong Wook Shin, Young Eun Lee, Moon Hee Han*, and Churl Hee Cho* School of Materials Science & Engineering Yonsei

More information

Silicon VLSI Technology. Fundamentals, Practice and Modeling

Silicon VLSI Technology. Fundamentals, Practice and Modeling Text Book: Silicon VLSI Technology Fundamentals, Practice and Modeling Authors: J. D. Plummer, M. D. Deal, and P. B. Griffin Photolithography (Chap. 1) Basic lithography process Apply photoresist Patterned

More information

Effects of dissolution temperature on the rheological properties of polyvinyl alchol solutions in dimethyl sulfoxide

Effects of dissolution temperature on the rheological properties of polyvinyl alchol solutions in dimethyl sulfoxide Korea-Australia Rheology Journal Vol. 20, No. 2, June 2008 pp. 73-77 Effects of dissolution temperature on the rheological properties of polyvinyl alchol solutions in dimethyl sulfoxide Yong Han Cho, Kyung

More information

Supporting Information. Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition

Supporting Information. Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition 1 Supporting Information Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition Jaechul Ryu, 1,2, Youngsoo Kim, 4, Dongkwan Won, 1 Nayoung Kim, 1 Jin Sung Park, 1 Eun-Kyu

More information

Functionalization of reduced graphene oxides by redox-active ionic liquids for energy storage

Functionalization of reduced graphene oxides by redox-active ionic liquids for energy storage Supplementary Material (ESI) for Chemical Communications Functionalization of reduced graphene oxides by redox-active ionic liquids for energy storage Sung Dae Cho, a Jin Kyu Im, b Han-Ki Kim, c Hoon Sik

More information

Screening of basic resist materials and PAGs for EUV-Lithography

Screening of basic resist materials and PAGs for EUV-Lithography Screening of basic resist materials and PAGs for EUV-Lithography 1. Int. EUVL-Symposium, Dallas, TX Klaus Lowack, Wolf-Dieter Domke, liver Kirch, Karl Kragler, Heinz-Ulrich Scheunemann* Infineon Technologies

More information

Gel Permeation Chromatography (GPC) or Size Exclusion Chromatography (SEC)

Gel Permeation Chromatography (GPC) or Size Exclusion Chromatography (SEC) Gel Permeation Chromatography (GPC) or Size Exclusion Chromatography (SEC) Size Exclusion Chromatography (SEC) is a non-interaction based separation mechanism in which compounds are retained for different

More information

Thin Wafer Handling Challenges and Emerging Solutions

Thin Wafer Handling Challenges and Emerging Solutions 1 Thin Wafer Handling Challenges and Emerging Solutions Dr. Shari Farrens, Mr. Pete Bisson, Mr. Sumant Sood and Mr. James Hermanowski SUSS MicroTec, 228 Suss Drive, Waterbury Center, VT 05655, USA 2 Thin

More information

Orthogonal Processing: A New Strategy for Patterning Organic Electronics

Orthogonal Processing: A New Strategy for Patterning Organic Electronics 1 rthogonal Processing: A New Strategy for Patterning rganic Electronics ERC Teleconference 3/September/2009 Jin-Kyun Lee and Christopher K. ber* Materials Science & Engineering Cornell University 2 rganic

More information

Laser Lithography System. d Technology (SPST), (C) フォトポリマー学会 Rights データはフォトポリマー学会からの許諾を得て公開しています

Laser Lithography System.   d Technology (SPST), (C) フォトポリマー学会 Rights データはフォトポリマー学会からの許諾を得て公開しています Synthesis and Property of Tannic Title s and Their Application for Extre Author(s) AWA, Laser Lithography System KUD, Hiroto, HRI, Shizuya, TAK Hiroki, WATANABE, Takeo, YAM KZAWA, Takahiro Journal of Photopolymer

More information

Numerical analysis of the heat transfer for packing design of cryogenic gate valve

Numerical analysis of the heat transfer for packing design of cryogenic gate valve Numerical analysis of the heat transfer for packing design of cryogenic gate valve Si Pom Kim 1,a, Rock Won Jeon 1,b, Il Ju Hwang 1,c, Jae Hoon Lee 1,d, Won Heaop Shin 2,e 1 Department of Mechanical Engineering

More information

Omnidirectionally Stretchable and Transparent Graphene Electrodes

Omnidirectionally Stretchable and Transparent Graphene Electrodes Supporting Information for: Omnidirectionally Stretchable and Transparent Graphene Electrodes Jin Yong Hong,, Wook Kim, Dukhyun Choi, Jing Kong,*, and Ho Seok Park*, School of Chemical Engineering, Sungkyunkwan

More information

The deprotection reaction front profile in model 193 nm methacrylate-based chemically amplified photoresists

The deprotection reaction front profile in model 193 nm methacrylate-based chemically amplified photoresists The deprotection reaction front profile in model 193 nm methacrylate-based chemically amplified photoresists Bryan D. Vogt a, Shuhui Kang a, Vivek M. Prabhu *a, Ashwin Rao a, Eric K. Lin a, Sushil K. Satija

More information

PREPARATION OF LUMINESCENT SILICON NANOPARTICLES BY PHOTOTHERMAL AEROSOL SYNTHESIS FOLLOWED BY ACID ETCHING

PREPARATION OF LUMINESCENT SILICON NANOPARTICLES BY PHOTOTHERMAL AEROSOL SYNTHESIS FOLLOWED BY ACID ETCHING Phase Transitions Vol. 77, Nos. 1 2, January February 2004, pp. 131 137 PREPARATION OF LUMINESCENT SILICON NANOPARTICLES BY PHOTOTHERMAL AEROSOL SYNTHESIS FOLLOWED BY ACID ETCHING X. LI, Y. HE, S.S. TALUKDAR

More information

Supplementary Information. High-Performance, Transparent and Stretchable Electrodes using. Graphene-Metal Nanowire Hybrid Structures

Supplementary Information. High-Performance, Transparent and Stretchable Electrodes using. Graphene-Metal Nanowire Hybrid Structures Supplementary Information High-Performance, Transparent and Stretchable Electrodes using Graphene-Metal Nanowire Hybrid Structures Mi-Sun Lee, Kyongsoo Lee, So-Yun Kim, Heejoo Lee, Jihun Park, Kwang-Hyuk

More information

Determination of Molecular Weight and Its Distribution of Rigid-Rod Polymers Determined by Phase-Modulated Flow Birefringence Technique

Determination of Molecular Weight and Its Distribution of Rigid-Rod Polymers Determined by Phase-Modulated Flow Birefringence Technique Determination of Molecular Weight and Its Distribution of Rigid-Rod Polymers Determined by Phase-Modulated Flow Birefringence Technique YUM RAK OH, YOUNG SIL LEE, MOO HYUN KWON, O OK PARK Department of

More information

Characterization of Optical Proximity Correction Features

Characterization of Optical Proximity Correction Features Characterization of Optical Proximity Correction Features John Allgair, Michelle Ivy, Kevin Lucas, John Sturtevant Motorola APRDL, Austin, TX 7871 Richard Elliott, Chris A. Mack, Craig MacNaughton, John

More information