Characterization of XUV sources

Size: px
Start display at page:

Download "Characterization of XUV sources"

Transcription

1 Characterization of XUV sources Stuik, R. DOI: /IR Published: 01/01/2002 Document Version Publisher s PDF, also known as Version of Record (includes final page, issue and volume numbers) Please check the document version of this publication: A submitted manuscript is the author's version of the article upon submission and before peer-review. There can be important differences between the submitted version and the official published version of record. People interested in the research are advised to contact the author for the final version of the publication, or visit the DOI to the publisher's website. The final author version and the galley proof are versions of the publication after peer review. The final published version features the final layout of the paper including the volume, issue and page numbers. Link to publication Citation for published version (APA): Stuik, R. (2002). Characterization of XUV sources Eindhoven: Technische Universiteit Eindhoven DOI: /IR General rights Copyright and moral rights for the publications made accessible in the public portal are retained by the authors and/or other copyright owners and it is a condition of accessing publications that users recognise and abide by the legal requirements associated with these rights. Users may download and print one copy of any publication from the public portal for the purpose of private study or research. You may not further distribute the material or use it for any profit-making activity or commercial gain You may freely distribute the URL identifying the publication in the public portal? Take down policy If you believe that this document breaches copyright please contact us providing details, and we will remove access to the work immediately and investigate your claim. Download date: 12. Dec. 2017

2 Characterization of XUV sources Karakterisatie van XUV bronnen

3

4 Characterization of XUV sources PROEFSCHRIFT ter verkrijging van de graad van doctor aan de Technische Universiteit Eindhoven, op gezag van de Rector Magnificus, prof.dr. R.A. van Santen, voor een commissie aangewezen door het College voor Promoties in het openbaar te verdedigen op maandag 7 oktober 2002 om uur door Remko Stuik geboren te Zierikzee

5 Dit proefschrift is goedgekeurd door de promotoren: prof.dr. M.J. van der Wiel en prof.dr.ir. G.M.W. Kroesen Copromotor: dr. F. Bijkerk CIP-DATA LIBRARY TECHNISCHE UNIVERSITEIT EINDHOVEN Stuik, Remko Characterization of XUV sources / by Remko Stuik. Eindhoven : Technische Universiteit Eindhoven, Proefschrift. ISBN NUR 910 Trefw.: XUV / Lichtbronnen / Lithografie / Submicronfysica / Roentgenfluorescentie Subject headings: XUV / Light sources / Lithography / X-ray fluorescence Druk: PrintPartners Ipskamp, Enschede The work described in this dissertation is part of a research programme of the Stichting voor Fundamenteel Onderzoek der Materie (FOM) with financial support from the Nederlandse organisatie voor Wetenschappelijk Onderzoek (NWO), the Dutch Technology Foundation (STW), the INCO Copernicus programme of the European Commission (# IC15-CT ) and ASML. The work was carried out at the FOM-Instituut voor Plasmafysica in Nieuwegein, The Netherlands.

6 To Nancy and my family who always supported me.

7 This thesis is based on the following publications: Chapter 2 R. Stuik, E. Louis, A.E. Yakshin, P.C. Görts, E.L.G. Maas, F. Bijkerk, D. Schmitz, F. Scholze, G. Ulm, and M. Haidl, Journal of Vacuum Science and Technology B 17 (6), (1999). Chapter 3 R. Stuik and F. Bijkerk, Nuclear Instruments and Methods A 489/1-3, (2002). Chapter 4 Chapter 5 R. Stuik, F. Scholze, J. Tümmler, F. Bijkerk, accepted by Nuclear Instruments and Methods A (2002). R. Stuik, H. Fledderus, P. Hegeman, J. Jonkers, M. Visser, V Banine, and F. Bijkerk, Second SEMATECH Workshop on Extreme UV Lithography, San Francisco, International Sematech Vol. CD (2000). Chapter 6 M. Kroon and R. Stuik, SPIE Microlithography, Santa Clara, SPIE Vol. 4343, (2001). Chapter 7 R. Stuik, L.A. Shmaenok, A.A. Sorokin, I.C.E. Turcu, W. Shaikh, N. Spencer, and F. Bijkerk, Annual Report 97/98, (Rutherford Laboratory, 1998). Chapter 8 R. Stuik et al., to be published (2002). Chapter 9 R. Stuik, L.A. Shmaenok, H. Fledderus, S.S. Andreev, E.A. Shamov, S.Y. Zuev, N.N. Salashchenko, and F. Bijkerk, Journal of Analytical Atomic Spectrometry 14, (1999). The author was also (co)author of the following publications: R. Stuik, R. Constantinescu, P. Hegeman, J. Jonkers, H. Fledderus, V Banine, and F. Bijkerk, SPIE s 45th Annual Meeting, San Diego, SPIE Vol. 4146, (2000). R. Stuik and F. Bijkerk, accepted by SPIE Vol (2002). L.A. Shmaenok, C.C. de Bruin, H. Fledderus, R. Stuik, A.A. Schmidt, D.M. Simanovskii, A.A. Sorokin, T.A. Andreeva, and F. Bijkerk, Emerging Lithographic Technologies II, St. Clara, SPIE Vol. 3331, (1998). A.A. Andreev, F. Bijkerk, H. Fledderus, N.N. Salashchenko, E.A. Shamov, L.A. Shmaenok, R. Stuik, and S.Y. Zuev, X-Ray Optics, Nizhny Novgorod (1999). E. Louis, A.E. Yakshin, P.C. Görts, S. Oestreich, R. Stuik, M.J. Kessels, E.L.G. Maas, F. Bijkerk, M. Haidl, S. Müllender, M. Mertin, D. Schmitz, F. Scholze, and G. Ulm, Emerging Lithographic Technologies IV, SPIE s 25th Annual International Symposium on Microlithography, Santa Clara, SPIE Vol. 3997, (2000). F. Bijkerk, R. Stuik, L.A. Shmaenok, A.A. Sorokin, I.C.E. Turcu, W. Shaikh, and N. Spencer, Technical Report CLRC-RAL (Rutherford Laboratory/TMR Large-Scale Facilities Access Programme, 1999). E. Louis, A.E. Yakshin, P.C. Görts, S.A. Abdali, E.L.G. Maas, R. Stuik, F. Bijkerk, D. Schmitz, F. Scholze, G. Ulm, and M. Haidl, Emerging Lithographic Technologies III, Santa Clara, SPIE Vol. 3676, (1999).

8 Contents 1 Introduction 1 I XUV Collection and detection systems 9 2 Multilayer simulations Abstract Introduction Experimental Numerical optimization Single Mo/Si mirror Ten-mirror system (Mo/Si) Mo/Be versus Mo/Si Angle and polarization Application to sources Experimental verification Conclusion Acknowledgements Linearity of P-N junction photodiodes under pulsed irradiation Abstract Introduction Experimental Generation of calibration pulses Diode read-out Results and discussion Low power measurements High power measurements Extrapolation to other wavelength bands Conclusion Acknowledgements Absolute calibration of a multilayer based XUV calibration setup Abstract Introduction Setup Calibration of individual elements v

9 vi Contents Oscilloscope and signal integration Bias electronics Photodiode Filter Multilayer mirror Diaphragm and solid angle Gas transmission Single wavelength calibration Extended wavelength band calibration Multiple-mirror systems Out-of-band radiation Limited XUV calibration range VUV/Vis/IR light Conclusion Acknowledgements Appendix: Calculation of the XUV yield Flying Circus EUV source comparison Abstract Introduction Diagnostics Results Z-pinch Dense Plasma Focus Laser Produced Plasma Capillary Discharge Hollow Cathode Triggered pinch plasma Summary Acknowledgements addendum Luminescent materials for EUV Abstract Introduction Sample preparation Requirements on the luminescent materials Deposition of thin CaS:Ce layers on quartz substrates Experimental setup Experimental results Input pulse shape measurements Luminescence experiments Surface roughness measurement Summary Acknowledgements

10 Contents vii II Source optimization 85 7 Optimization of picosecond sources Introduction Material and methods Results Discussion Acknowledgements Laser-Plasma Wall Interaction Abstract Introduction Experimental Results Line identification CF Al Conclusion Acknowledgements III Applications Low Energy-XRF-MDA Abstract Introduction Experimental technique Illumination system Fluorescence detection and analysis system Outlook Conclusion Acknowledgements Addendum Bibliography 115 Summary 121 Samenvatting 125 Dankwoord 129 Curriculum Vitae 131

11

12 Chapter 1 Introduction The use of radiation in the XUV range the wavelength range between several tenths and several tens of nanometers 1 in optical applications, has a number of key advantages over other wavelength regions. This primarily becomes apparent in imaging applications, which take advantage of the potentially improved resolution with respect to the visible and UV range, and the availability of normal incidence, multilayer mirror optics. Additional advantages include the specific absorption and emission features of XUV which can be used in the elemental analysis of, especially, low-z elements. In general, the resolution limit of an optical system is given by the diffraction limit according to the Rayleigh equation [5], R = k 1.22λ NA, (1.1) where k is an application-dependent coefficient and NA the numerical aperture of the optics used. Thus, in the XUV region the resolution potentially is one to two orders of magnitude higher than in the UV or the visible region. A prerequisite for achieving such an improved resolution is the ability to obtain a similar numerical aperture and k value as in the visible and UV range. The availability of normal incidence multilayer optical systems in the XUV allows the use of high NA optics. Recently, considerable progress has been made in the fabrication of multilayer mirrors which presently show normal incidence reflectivities ranging from several percent at 3 nm up to more than 70% near 13 nm [6]. This fast increase in optical quality and reflectivity was enabled by new techniques used in the fabrication of multilayers, especially to improve the interfaces between the atomically thin layers. An obvious and recent example in which the imaging potential of XUV radiation is currently being pursued, is Extreme Ultraviolet Lithography (EUVL). The continuous 1 The nomenclature of the wavelength range is not well defined. In literature EUV is used for Extreme Ultraviolet and XUV is used for both extreme Ultraviolet and X-ray Ultraviolet [1]. According to Samson [2], the whole range between 0.2 and 200 nm is labelled as Vacuum UV [3]. For wavelengths shorter than 90 two overlapping regions are used: the Extreme UV between 0.2 and 90 nm and the soft X-ray region between 0.2 and 30 nm. Tousey [4] proposed to contract the Extreme Ultraviolet and the soft x-ray to XUV. Nowadays, the term EUV is mainly used in lithography, indicating the wavelength band around 13.5 nm. The name XUV has been chosen in this dissertation to emphasize the broader wavelength range investigated 1

13 2 Chapter 1 Introduction demand for smaller structures for semiconductor microchip fabrication is traditionally being met by reducing the wavelength used in the lithography process involved. The currently applied DUV techniques, operating at 193 to 157 nm, ultimately are expected to enable a resolution of approximately 70 nm [5]. Smaller structures in future generations of semiconductor circuits require even higher resolutions and therefore shorter wavelengths. It is expected that a resolution down to 25 nm can be achieved using XUV radiation. At this level the resolution is no longer limited by the wavelength, but by the design of the optical system and accuracies obtained in the manufacturing process of the optics. Another recent application of imaging techniques in the XUV, equally taking advantage of the developments in multilayer optics, consists of an extension of X-ray fluorescence analysis (XRF), namely with combination of microscopy allowing 2D spatial analysis. For Low-Energy XRF, where excitation takes place using XUV radiation, the properties of the specific interaction of XUV radiation with matter are important. Especially for the lighter elements, XUV emission and absorption spectra are relatively straightforward: the individual emission lines in the spectra are well separated and are therefore more easily analyzed than at longer wavelengths, where the abundance of spectral lines makes analysis less straightforward. An added advantage is that the absorption cross-section in the XUV region is significantly higher by two orders of magnitude than for harder X-rays. Despite the losses due to Auger decay the main relaxation channel for excited atoms in the XUV region the this enhanced absorption cross-section allows a high sensitivity with respect to XRF at higher photon energies. The use of multilayer imaging optics to detect the fluorescence radiation allows the determination of the distribution of the elemental composition across the surface at high resolution and efficiency. Simultaneously, the use of small laboratory sources, as compared to synchrotron facilities, may allow the use of XRF at individual institutes. This technique can, for example, provide information on the position of carbon contamination in catalysts, or the distribution of boron dopants in semiconductors [7, 8], on-site at the laboratory or factory. Appropriate multilayer optics allows efficient collection and high resolution imaging of K-shell fluorescent radiation of lighter elements, up to Oxygen. Source requirements The common denominators between the two applications mentioned above are the XUV optics and the XUV light source. For both applications, the XUV source power is of crucial importance, as this determines the time needed to either print a pattern on a wafer or analyze a sample. EUVL is only commercially feasible if the wafer throughput the number of microchips that can be produced per hour is comparable to the throughput in conventional, DUV lithographic techniques. Currently, the requirement on the power of EUVL sources is W in clean, usable photons [9] (see section 5.7). The time available for XRF sample analysis usually is several minutes to several tens of minutes, analogously giving a requirement on the minimum source power. The use of multilayer optics implies that only radiation in a narrow wavelength band can be used. Simultaneously, only light that can be collected by the optical system can be used. The power requirements on the source therefore refer to the power within the bandwidth of the optical system ( in-band ) and the etendue of the source, being given

14 by the product of the XUV emitting source size and the collection angle. The source thus has to be matched to the optical system (see e.g. Banine et al. [10]). All power emitted out-of-band and outside the etendue of the optical system will only lead to a thermal load on the optical system, giving deformations and loss of resolution. With XUV sources generally being based on high temperature plasma systems, contamination by the source is a main factor in the lifetime of the source and optics in direct line of sight of the source. The most efficiently emitting plasmas are usually operated at a temperature of several tens to several hundreds of electronvolts and inherently produce high-energetic particles that would damage the multilayer coatings of the optics. Since replacement of XUV optics is time consuming and expensive, the contamination should be sufficiently suppressed to allow a life time of the optics comparable to the (economical) lifetime of the stepper or microscope, which is set to be several years. Imaging applications using XUV also set limits on the maximum allowable fluctuations in source power, position and size. Source power fluctuations will lead to uncertainties in the dose on the mask, in the case of EUVL, and on the sample, in the case of XRF, while source position and size fluctuations cause imaging distortions. Both the reliability of the data obtained by XRF as well as the control over the smallest printable structures ( CD control ) in EUVL [10] are critical to the application. 3 Source development When EUVL was initially proposed in the 80s, no source was available that met all the requirements. Many concepts were available, ranging from sources based on electron impact [11], synchrotron radiation [12] or hot plasmas [13, 14], but all were lacking power, stability and lifetime. Individual sources were being investigated at various locations, but without a coherent, concerted effort by e.g. industry to stimulate the development of high power sources or the selection of the most appropriate source concept. Recently, the need for high-power, high-stability and reliable sources for XRF and especially EUVL has shifted the research and development of XUV sources from universities and research institutes to commercial research laboratories. A large number of (quasi) commercial efforts in source development were initiated to produce a suitable XUV source for these high-demand applications. New initiatives to improve on existing source concepts, like the pinch plasma [15, 16], the synchrotron [17, 18] and the laser plasma [19] were started to meet the strict requirements on power, stability and contamination. The development is now financially stimulated by industry to meet the time schedule set for EUVL (Fig. 1.1) 2. Source characterization With the major effort currently put into the development of sources for EUVL, at a large number of locations, a variety of measurement techniques are being used to determine 2 The source power for the different sources is the raw source power. Requirements on contamination given by an exposed optics lifetime of pulses, out-of-band emission given by the fraction of radiation outside the band of interest of 2% around 13.5 nm and requirements on gas handling will give additional losses, up to 80% (see e.g. Benschop et al. [20]). These losses lead to a minimum requirement on the raw source power of up to 250 W.

15 4 Chapter 1 Introduction Figure 1.1: Development time line of EUV sources for EUVL wafer steppers and realized EUV source power for a number of candidate sources, as presented at the various EUVL workshops. Open symbols indicate power obtained in burst mode. The minimal required clean, usable EUV source power for EUVL is indicated by the horizontal line 2. Indicated are also the Flying Circus(FC) measurements a measurement campaign where one absolutely calibrated diagnostic was used to determine source parameters of 5 candidate sources for EUVL, in the first half of 2000 (FC 1) and the proposed 2 nd Flying Circus campaign (FC 2). the source parameters, like power and stability of the source and contamination by the source. Even though fixed requirements are set by EUVL, the large variety in measurement techniques, combined with the large variation in source properties can lead to significant deviations between the reported source properties. Comparison of the different sources becomes virtually impossible and the development of a coherent characterization technique of the sources is vital. To meet the demand for a consistent method of source characterization, a fully calibrated and portable diagnostic was designed and produced. This diagnostic was used to characterize 5 candidate sources for EUVL. The calibration of this diagnostic is described in chapter 3 and chapter 4, with the results of the measurements reported in chapter 5. For the first time, a comprehensive comparison was performed of the different XUV sources, while at the same time a consistent set of requirements was set and accepted by the EUV community. Presently, this diagnostic is accepted and in use by various source developers and has led to a commercial spinoff. As a parallel activity, luminescent materials for use in alignment systems in EUVL were investigated. These materials are used as an alternative to, for example, a CCD camera, which are sensitive to radiation-induced damage and contamination. Using luminescent materials, the detection of radiation can take place in the visible light range, preventing radiation induced damage, while these materials might be easier cleaned or replaced. Chapter 6 describes the performance of luminescent materials in the XUV region.

16 5 Source optimization In the previous two sections, source requirements by the two applications were described, as well as a standardized diagnostic for measurements of a number of these requirements. With the requirements known and diagnostic available, the question arises which physical properties determine the performance of the source and how these can be controlled. First, the source and optical system needs to be matched. For example, when using multilayer optics, the optimal throughput of a multiple-mirror system in the XUV region is achieved for Mo/Si multilayer mirrors in the band between 12.8 and 15 nm, with the maximum throughput at 14.4 nm (chapter 2). Due to a steep dependence of the multiplemirror reflectivity on the wavelength, any source that has an optimal emission outside this region is at a disadvantage. Second, the source concept needs to allow for stable operation, especially at the high source powers required. For example, many laser plasma sources for EUVL depend on the use of a cooled Xe gas jet, in which a laser plasma is generated several millimetres from the gas jet nozzle. For low powers a stable flow pattern can be established, but when the laser power is increased to several kilowatts, the resulting heating of the nozzle may cause a significant disturbance of the Xe cooling and flow, leading to large fluctuations in the XUV generation, e.g. as seen in Fig. 5.9 of this dissertation. Third, as part of this work, it was found that a good control over the source environment and over the influence of the source on its environment is needed. For high-power XUV sources based on a hot plasma, a significant fraction of the input energy is emitted as thermal radiation and fast particles. Both the thermal load on the components and optical elements, as well as the interaction of fast ions created in the source needs to be taken into account. The sharp decrease in reflectivity of multilayer mirrors exposed to the source, as seen for example in section 5.4.3, could be directly traced back to significant erosion of the source electrodes. In the case of sources where the EUV is generated in a gas, the self absorption in the gas can become dominating. The increase in emission of 1.7, between the measurements performed during the Flying Circus campaign and several weeks later, as seen in section 5.4.1, was mainly achieved by small modifications in gas flow. In a Xe gas, for example as described in section 5.4.3, a significant fraction of the radiation is absorbed in the Xe outside the plasma region. At a pressure of 5 Torr as exists in the neighborhood of a typical Xe jet the transmission of XUV radiation at 13.5 nm is only 13% in 5 mm of gas. Thus, a large increase in source output can be achieved if the gas flow in the system and especially in the near-source area [21] is well controlled. The first EUV lithographic tools are currently being build, like the alpha-tool by ASML and Zeiss and the Engineering Test Stand (ETS) by the EUV LLC. The performance of the ETS is being demonstrated [22] and the assembly of a development wafer stepper for EUVL is being prepared [23]. The first pre-production steppers are to be delivered to the chip manufacturers in Optimization of source performance is still needed and ongoing, as obvious from the recently approved European MEDEA+ [24] project, in which 700 man-years will be used for optimizing both laser produced plasma (LPP) and discharge plasma light sources for EUVL. An important factor in these programmes, is the conversion efficiency from laser power to XUV power, which determines the required power for a certain XUV output power. An overview of different conversion efficiencies for laser produced plasmas, measured at

17 6 Chapter 1 Introduction different source and target conditions, is shown in Fig Figure 1.2: The conversion efficiency (CE) of laser produced plasmas (LPPs), created under a variety of irradiation conditions, laser wavelengths and pulse lengths, and target materials. The CE was measured in the nm range. The abbreviations indicate the various research laboratories. The target materials were: (1) solid Au and Sn [25], (2) solid Xe [26], (3) solid Re [27], (4) gaseous Xe [19, 28], (5) liquid H 2 O [29, 30], (6) solid H 2 O [31], (7) solid Re [32], (8) solid Li [33], (9) liquid/gaseous Xe [34], (10) Li [35], (11) solid Au [36], (12) solid Xe [37], (13) gaseous Xe [38], (14) liquid/gaseous Xe [39]. Optimization of the conversion efficiency of an LPP is described in this dissertation in two areas: investigation of optimal plasma parameters using a picosecond laser system and interaction of a high-temperature expanding laser plasma with a solid object. Most sources in Fig. 1.2 have a pulse duration in the nanosecond range. In this range, the generation of solid-target laser plasmas is accompanied by a large emission of particles, leading to contamination. Simultaneously, in Bijkerk et al. [40], a reduced pulse length of the XUV emission was seen. Only the first part of the laser pulse was used for efficient plasma generation, while the plasma expansion in the later phase caused a reduced efficiency.with an existing indication given by Turcu et al. [41], that picosecond pulses lead to an enhanced efficiency in the kev wavelength band, also the efficiency at the EUV wavelengths was explored, in chapter 7. In these first measurements of the XUV emission from picosecond LPPs, the efficiency was found to be of the same order of magnitude as for nanosecond LPPs. Further investigation has to prove if other factors, like the amount of debris emitted, are sufficiently improved to compensate the increased difficulty of producing picosecond pulses with respect to nanosecond pulses. A totally different approach is laser-plasma wall interaction (LPWI), the interaction between an expanding plasma of an LPP and a solid surface. The expanding plasma can carry >50% of the laser energy. By placing a wall in the expanding plasma the kinetic energy can be used to reheat the plasma and give rise to a bright emission in the near wall zone. The collision of the plasma gives rise to a stagnation zone, where different processes take place, ranging from enhanced cooling and population inversion to charge exchange processes of the highly ionized plasma and the neutral wall atoms. Simultaneously, the intense, energetic particle flux might be used to simulate ablation processes as taking

18 place in the vicinity of the hot XUV sources and in tokamak divertors. In chapter 8, the spectral and spatial distribution of the plasma emission were studied for Al and CF 2, in order to investigate the plasma dynamics. In chapter 9, the application to XRF is described. Low-Energy X-Ray Fluorescence Micro-Distribution-Analysis, using a laser plasma as XUV source and multilayer optics as imaging elements investigated on its usefulness as a new method of element mapping is presented. 7

19

20 Part I XUV Collection and detection systems 9

21

22 Chapter 2 Multilayer simulations 2.1 Abstract Reported is the optimization of the fabrication of Mo/Si multilayer systems produced by e-beam evaporation and ion-beam smoothening. The impact of a number of coating parameters is verified such as variation of the mirror s centre wavelength and the metal fraction of the bi-layer (Γ-ratio), resulting in reflectivities of up to 68.6% at normal incidence. Parallel to this experimental work, a numerical optimization based on experimentally determined multilayer properties is carried out on the throughput of multi-mirror lithographic systems for the 11 nm to 15 nm wavelength region using Mo/Si and Mo/Be coatings. Centre wavelength, Γ-ratio and layer stack have been optimized. The calculations show an optimum throughput for a 10-mirror Mo/Si-system at 14.4 nm, assuming a light source with a wavelength independent spectrum. 2.2 Introduction With the increasing number of reflective optical elements in recent EUV Lithography designs, throughput has taken on a larger significance. Obtaining the highest possible near-normal incidence reflectivity is therefore a major research issue in multilayer fabrication laboratories. Theoretically, the maximum reflectivity is reached at a wavelength close to the absorption edge of the spacer material, e.g. the Be-K (11.1 nm) or the Si-L II,III edge (12.4 nm). However, in a practical system the throughput is not only determined by the peak reflectivity, but also by the bandwidth of the system. Both calculations and measurements on experimental multilayer coatings indicate that the reflectivity reduces, while the bandwidth increases at longer wavelengths factors which obviously have opposite effects on the throughput. We performed a systematic experimental and theoretical study on multilayer performance for the wavelength range from 11 to 15 nm. The reflectivity of Mo/Si coatings is experimentally determined and the properties of these coatings are used as input for a realistic numerical model to perform simulations of peak and integrated reflectivity of both single mirrors and multi-mirror systems for the material combinations Mo/Be and Mo/Si. Polarization effects for off-normal angles of incidence are discussed, as well as the impact of several candidate EUV sources. 11

23 12 Chapter 2 Multilayer simulations 2.3 Experimental Figure 2.1: Near-normal incidence reflectivity of Mo/Si multilayer mirrors produced at FOM for the wavelength range between 12.8 nm and 14.6 nm. The method employed to produce the coatings is e-beam evaporation in combination with in-situ monitoring of the layer growth [42]. Smoothening of the layer surfaces is obtained by polishing the individual layers with low energy ions after growth [43, 44]. We produced 50-period multilayer coatings with different d-spacings and Γ-ratios, intended to reflect at near-normal incidence in the wavelength range from the Si-L II,III edge up to about 15 nm. Figure 2.1 shows the reflectivity for some of these coatings, measured at 1.5 off-normal at the PTB reflectometry facility [45] at the electron storage ring BESSY I. Although the measured reflectivity is slightly decreasing from 68.6% at 12.8 nm down to 66.3% at 14.6 nm for a Γ-ratio of 0.4, the bandwidth (FWHM) of these reflectivity curves increases from 0.45 nm to 0.66 nm, resulting in a significant increase of the integrated reflectivity (Fig. 2.2). We used Cu-K α grazing-incidence specular reflectivity measurements to perform θ 2θ measurements on the multilayers and analyzed the results in two different ways, namely by Fourier analysis of the individual Bragg maxima [46] and by curve fitting. The Fourier analysis shows a total roughness of 0.22 nm to 0.25 nm, while the curve fitting procedure results in a Debye-Waller rms roughness of 0.3 nm. AFM measurements performed on the top layer of the coatings give an indication of the smoothness of the layers. Scanning a 1 1 µm 2 area of a 50 period Mo/Si multilayer shows an rms roughness of 0.13 nm. 2.4 Numerical optimization The multilayer reflectivity has been calculated by recursively applying the Fresnel equations to the individual multilayer interfaces, taking into account phase effects at the interfaces, attenuation and internal reflections of radiation by the multilayer structure [42]. The calculations are performed using the optical constants of the Center for X-ray Optics -website [47] and Soufli et al. [48], with a density of the materials equal to the

24 2.4 Numerical optimization 13 Figure 2.2: Measured peak reflectivity and bandwidth (FWHM) of single multilayers between 12.8 and 14.6 nm. bulk density. The roughness is described in the model by the Debye-Waller factor [49], and incorporated as a scattering factor instead of an increase in interface transmission. Since the effect of roughness is best observed in multilayers with a small d-spacing, we fitted the shortest wavelength curve of Fig. 2.1 with an accuracy in both peak reflectivity and bandwidth of better than 0.3%, resulting in a σ-value in the DW-model of The σ-value is used as the only parameter to fit the near-normal incidence reflectivity and includes all effects that influence the final reflectivity, such as interlayer formation, intermixing, reduced material density, layer composition, top layer oxidation, and roughness. Therefore, this value does not represent the actual interface roughness as found by Cu-K α analysis (0.22 to 0.3 nm) or AFM (0.13 nm). A variation of the σ-value only gave slight variations in the position of the optimal wavelength (within 0.3 nm) as long as the σ-value was taken equal for all wavelengths. In the case of Mo/Be the σ-value was 0.60, fitting the reflectivity curve presented in Montcalm et al. [50] with an error of 0.5% in width and peak reflectivity, resulting in errors smaller than 10% in the final results on multi-mirror systems. Calculations were limited to the number of layers that resulted in a reflectivity of at least 99% of the theoretical value in the case of an infinite number of layers. This leads to 50 bi-layers of Mo/Si or 60 bi-layers of Mo/Be with the top layer consisting of respectively Si or Be, to reduce the effect of oxidation. The integrated reflectivity was obtained by taking the n-th power of the simulated single mirror reflectivity (with n the number of mirrors), followed by a convolution with the source spectrum and integration over a sufficiently wide bandwidth, centred at the central wavelength of the multilayer coating. Most calculations were performed for reflection at normal incidence on the multilayer assuming a light source with a wavelength independent intensity. The effects of larger angles and different sources were calculated separately. In the multi-mirror systems calculated, all mirrors were taken identical, i.e. effects due to mirror mismatching are not included.

25 14 Chapter 2 Multilayer simulations Single Mo/Si mirror Figure 2.3: Calculated peak (a) and integrated reflectivity (b) for a single Mo/Si mirror, as a function of wavelength (left to right) and gamma ratio (front to back). Both peak and integrated reflectivity of a single Mo/Si mirror were calculated varying the wavelength and Γ-ratio. The results of these calculations are shown in Fig A sharp increase in peak reflectivity is found close to the Si-L II,III edge, mainly due to the reduced absorption of the Si spacer layers, with the highest reflectivity value of 72.9% occurring at λ = 12.4 nm and Γ = The optimal λ 0 for the integrated reflectivity of a single mirror was above 15 nm. For longer wavelengths both the reflectivity of a single Mo/Si interface as the absorption in the multilayer stack increase. The combination of these two effects leads to a slightly lower peak reflectivity but considerably larger bandwidth, and therefore to a higher integrated reflectivity for longer wavelengths Ten-mirror system (Mo/Si) Results on optimization of a system consisting of ten Mo/Si mirrors are shown in Fig The peak reflectivity shows the same overall behaviour as in the single mirror case with

26 2.4 Numerical optimization 15 Figure 2.4: Calculated peak (a) and integrated (b) reflectivity of a 10 mirror Mo/Si system as a function of wavelength (left to right) and gamma-ratio (front to back). the optimum wavelength again at 12.4 nm and a Γ of The total peak reflectivity amounts to 4.2%, corresponding to the tenth power of the single mirror reflectivity. The integrated reflectivity, however, differs notably from the single mirror case in the sense that an optimum is found at 14.4 nm. The position of this optimum varies only slightly (within 0.3 nm) when taking different values for the σ-value. At the optimum the integrated reflectivity amounts to 0.58 nm%. The optimal Γ-ratio (0.40) is slightly smaller than the value needed for maximum peak reflectivity. The maximum at 14.4 nm in the integrated ten-mirror reflectivity is the result of two oppositely acting effects: the increased bandwidth with increasing wavelength and the decrease of the peak reflectivity (Fig. 2.2). In contrast to the single mirror case, beginning with 2 mirror systems a maximum appears in the calculated domain due to an increase in the slope of the peak reflectivity curve. Up to 13 mirrors, the maximum is found around 14.4 nm. For more than 13 mirrors, this maximum is at a position half the bandwidth of the multi-mirror system from the Si-edge, at around 12.5 nm: the effect of the peak reflectivity being highest close to the edge then fully dominates the larger bandwidth for longer wavelengths.

27 16 Chapter 2 Multilayer simulations Mo/Be versus Mo/Si Figure 2.5: Comparison of calculated peak (a) and integrated (b) reflectivity of a 10-mirror multilayer system for Mo/Si versus Mo/Be. Crosses are calculated from experimental reflectivity curves of Mo/Si mirrors. Analogous calculations have been performed for a ten-mirror Mo/Be system. The results are shown in Fig. 2.5 for optimal Γ-ratios for each wavelength. This optimal Γ-ratio ranges from 0.43 to 0.40 for the wavelength range from 11.2 nm to 15 nm. The highest single-mirror peak reflectivity obtainable with a Mo/Be mirror is higher than for Mo/Si, which results in a ten-mirror peak reflectivity of 6.1% at 11.2 nm, about 45% higher than the highest peak reflectivity of the corresponding Mo/Si case. The behaviour of the integrated reflectivity of Mo/Si and Mo/Be is significantly different. While the optimum for Mo/Si is located at some distance from the edge, the Mo/Be optimum wavelength is at 11.2 nm, about half the mirror bandwidth from the edge. The ten-mirror integrated reflectivity for Mo/Si is 50% higher than for Mo/Be. Clearly, the effect of the reduced

28 2.4 Numerical optimization 17 bandwidth of Mo/Be, as compared to Mo/Si, dominates the gain in peak reflectivity Angle and polarization Figure 2.6: Calculated integrated reflectivity of a ten-mirror Mo/Si system for s- polarization (a) and p-polarization (b), for different angles of incidence ranging from 0 to 30. In EUVL designs most optical elements will be used at angles of incidence ranging from 2 to 15 off-normal. In these cases polarization effects play an important role. For a single mirror the off-normal reflectivity of s-polarized radiation increases for larger angles, while the reflectivity of p-polarized light is suppressed, both compared to the normal incidence case. As can be seen in Fig. 2.6, this effect becomes increasingly important for a ten-mirror system. The throughput for s-polarized light has almost doubled, while the p-polarized component has almost disappeared. The value of the optimal wavelength shifts slightly for s-polarized light, with the long wavelength optimum now at 13.7 nm at 30. In the case of p-polarization the optimum shifts to shorter wavelengths for very large angles (>25 ), but this effect is negligible due to the low throughput of the p-polarized component. In this calculation all reflections were taken such that the polarization was the same with respect to all mirrors, a situation that obviously can only be realized in a two-dimensional system. In the real three-dimensional case a mixing between the s- and p-polarization will occur. Thus, calculations of the throughput have to be carried out for each specific optical system and should be used as input parameter for the final EUVL design.

29 18 Chapter 2 Multilayer simulations Application to sources All previous calculations were done using a hypothetical white source with a wavelength independent spectrum. In the final application however, the throughput has to be convoluted with the source spectrum, which might shift the wavelength for optimal throughput. Narrow-bandwidth or line sources having a bandwidth smaller than the bandwidth of a ten-mirror multilayer system (< 0.3 nm), yield the highest throughput when used with a mirror system of which the centre wavelength is adapted to the source, e.g. Mo/Be between 11.2 nm and 12.4 nm and Mo/Si above 12.4 nm. For broadband sources, the multilayer system constitutes the prime wavelength selective element and highest throughput is therefore given by the integrated reflectivity. In most cases this leads to a Mo/Si system. One exception is the Xe laser plasma source [51] of which the high intensity near the Be-edge favours a Mo/Be multilayer system. Tuneable broadband sources, such as a compact synchrotron radiation source [52], of which the wavelength of highest intensity can be tuned to the optimum of the multi-mirror system are most likely to yield the highest intensity near 14.4 nm using a Mo/Si system. 2.5 Experimental verification For verification of the numerical results, the experimental, single mirror, reflectivity curves of Fig. 2.1 were used to calculate both the peak reflectivity and integrated reflectivity of a ten-mirror system at discrete points which are indicated by the crosses in Fig 2.5. The 10 mirror peak reflectivity calculated from this experimental data deviates less than 3% from the numerical curve and decreases from 2.3% at 12.8 nm to 1.6% at 14.6 nm. The optimum at 14.4 nm is observed, but still somewhat less pronounced as in the calculated data. This is due to the fact that the experimental mirrors are produced with a slightly different Γ-ratio which influences the bandwidth. 2.6 Conclusion By e-beam evaporation and low-energy ion polishing of the interfaces, we produced lowroughness Mo/Si coatings reflecting 66.3% at λ = 14.6 nm up to 68.6% at λ = 12.8 nm, measured at 1.5 off-normal. Coatings optimized for the longer wavelengths in this range show a significantly larger bandwidth than at shorter wavelengths, resulting in a larger integrated reflectivity. In the case of a ten-mirror system, calculations show that the highest throughput is obtained using Mo/Si coatings at λ = 14.4 nm. In this case the integrated reflectivity of the system is about 15% higher than for a Mo/Si system used near the Si-edge and 50% higher than for a Mo/Be system used near the Be-edge. This trend is confirmed by a calculation of the integrated ten-mirror reflectivity of the measured experimental reflectivity data. The highest intensity can be expected if, like in the case of a compact synchrotron radiation source, the emission of the source can be tuned to the multilayer optical system with the highest throughput, i.e. Mo/Si coatings at 14.4 nm. Only if the source intensity is more than 1.5 times higher and at λ < 12.4 nm, a Mo/Be system is to be preferred. Polarization effects due to off-normal incidence reflections in multi-mirror systems can result in a large throughput difference between s-polarized and p-polarized light. If all reflections are at 30 angle of incidence, we find a 70% higher

30 2.7 Acknowledgements 19 integrated reflectivity for the s-polarized component as compared to normal incidence, while the p-polarized component virtually disappears. In realistic systems mixing of both polarizations will occur. The optimal wavelength changes significantly only for p- polarization at large angles, but this effect can be neglected due to the low throughput of the p-polarized component at these angles. 2.7 Acknowledgements This multilayer programme is carried out at the FOM Institute for Plasma Physics Rijnhuizen, with EUV characterizations at the PTB soft x-ray reflectometry facilities at BESSY I (Berlin). The work is part of the EUCLIDES EUVL development project coordinated by ASML (Veldhoven), and is financially supported by Carl Zeiss (Oberkochen, Germany) within the EC ESPRIT programme. This research is part of the organization FOM and is additionally supported by STW (Technology Foundation) in The Netherlands and the EC funded INCO programme. The authors thank B. Meyer and D. Rost at the PTB reflectometry facility for their assistance in the measurements and H. de Witte for technical support at the FOM deposition setup.

31

32 Chapter 3 Linearity of P-N junction photodiodes under pulsed irradiation 3.1 Abstract The dependence of the sensitivity on the radiation pulse length for a P-N junction photodiode has been investigated over an extended range of pulse lengths, from 170 ns to 1.2 ms. The power incident on the diode surface was varied between 1.6 and 118 mw. A novel method was used to generate the light pulses with variable length, while keeping the temporal pulse shape and the intensity constant. The method consists of using a rotating mirror in combination with a DC light source, in our case at 633 and 532 nm. In this way, the pulse shape only depends on the geometry of the setup, with the pulse length solely determined by the rotation frequency of the mirror. No further calibration is needed for determination of the pulse intensity and shape. Accuracies obtained are better than 2%, mainly determined by instabilities in the setup. The sensitivity of an IRD AXUV-100 photodiode was studied, both with and without a reverse bias voltage applied. At unbiased conditions and irradiation levels well below the saturation intensity, the photodiode had a constant, frequency-independent sensitivity over the full range of pulse lengths investigated. The sensitivity decreased at irradiation levels approaching saturation, with the largest decrease at longer pulse lengths. This decrease was fully accounted for by electron-hole recombination at a typical time scale of 15±5 µs. Under biased conditions and pulse lengths below 5 µs, the diode sensitivity remained constant for all incident power levels investigated. At longer pulse lengths a decrease in sensitivity was observed, both at low and high power. This second type of decrease is attributed to the frequency response of the bias electronics. An extrapolation of the results to other wavelength ranges is given, including the extreme ultraviolet (EUV) and soft X-ray ranges. 3.2 Introduction Semiconductor P-N junction diodes, or P-N photodiodes, are increasingly used for the measurement of absolute radiation intensities of various light sources, both in continuous and pulsed mode, and in different wavelength bands [53, 54, 55]. Calibration of 21

33 22 Chapter 3 Linearity of P-N junction photodiodes under pulsed irradiation the photodiodes is generally done using continuous sources, such as standard lamps [56], or quasi-continuous sources, such as synchrotron radiation sources [57, 58, 59, 60], with well known or calculable intensities. In the case of pulsed calibration sources, the pulse properties, like intensity, length, and temporal structure, generally differ from the pulse properties of the radiation measured in the final application. Although the linearity of the diode sensitivity for different intensities can readily be verified [61], the length and temporal shape of the pulse of the calibration source can generally not be modified to match the source under investigation. The different diode processes that determine the detection efficiency may be dependent on the pulse length or shape, and currently, no experimental data exists to justify the use of, for example, DC calibration for pulsed sources or the use of pulsed calibration to sources with a different pulse shape and duration. Two main processes are relevant to a possible pulse length dependence of the sensitivity: the photon-induced production of electron-hole pairs, and losses due to recombination in the different diode layers [62]. Recombination can take place either at high electron-hole densities or at impurities in the material of the diode, the so called trapping centres. The recombination time at lower electron-hole densities is typically µs, and depends on the power density. When the charge cannot be collected from the diode within this time, loss of charge may become significant, for example, when the photon generated current would exceed the maximum current in the diode. In a DC experiment two regimes can be identified. In the linear regime, the charge is removed on a time scale much shorter than the recombination time and the sensitivity is independent of the power of the incident radiation. At higher power levels recombination predominantly determines the measured sensitivity. In pulsed experiments, when the pulses are shorter than the time needed to reach the charge equilibrium in the diode, the sensitivity can be different than in DC experiments, due to the fact that the time scale for recombination is different than that for external charge collection. The time scale for external charge collection is determined by two distinct processes. First, the charge is transported by the internal electric field in the depletion zone of the diode to the edges of the depletion zone. The charge is then collected by an external electrical circuit or oscilloscope. The internal charge transport time is determined by the electron and hole drift velocity in the depletion zone and is typically between 0.1 and 1 ns. Without using additional electronics, such as a bias voltage circuit, the external collection time is determined by the RC decay time of the diode-oscilloscope combination, typically >300 ns for large area diodes. The generation of electron-hole pairs by the incident radiation takes place on a sub-ps time scale [63], which is much faster than any of the other processes involved. Its influence on the time response is therefore negligible. Determining the dominating process for a particular set of experimental conditions, and thus verifying any presumed pulse length independence, is severely hindered by the lack of calibration sources with pulse lengths that can be varied over a large range. In this paper, we report on a novel method for the generation of pulses calibrated over four orders of magnitude in pulse length and three orders of magnitude in incident power. With this method, comprehensive measurements were performed on the response of a P-N junction photodiode commonly used for source calibration, the IRD AXUV-100 P(I)N diode. 1 This diode is suitable for absolute calibration of radiation sources due to its large sensitive area, and is typical of its class of calibration diodes. Pulses of 633 and 532 nm radiation have 1 Manufactured by IRD, Torrance, CA, 1999.

34 3.3 Experimental 23 been applied and an extrapolation to other wavelengths, for example, EUV radiation generated by laser plasma sources or discharge sources [53], is given. Simultaneously, properties of the diode important for extrapolation to other diodes are investigated. 3.3 Experimental Generation of calibration pulses Figure 3.1: Experimental setup for the diode pulse response measurements. Using a fast rotating mirror, the light from the laser is reflected towards the diode positioned behind a diaphragm. Fig. 3.1 shows the experimental setup used. The light pulses were generated using a mechanical pulse generator based on a DC light source. For low irradiation levels on the diode, a He/Ne laser (20 mw, λ = 633 nm, angular divergence 1.8 mrad) was used, while for higher intensities a CW Nd:YAG laser (30 mw up to 5 W, λ = 532 nm, 0.9 mrad) was applied. Both lasers had a Gaussian beam profile. The laser beam was aimed at a mirror attached to a motor with an adjustable rotation speed. By placing a lens just before the rotating mirror, a fast sweeping beam was generated with the focus at a radius equal to the focal distance of the lens. The diode was positioned in the focus of the beam, behind a diaphragm. In this setup the pulse length and shape only depend on the rotation frequency of the mirror and the mechanical layout of the setup. This layout was fixed for all experiments.

35 24 Chapter 3 Linearity of P-N junction photodiodes under pulsed irradiation By choosing the diaphragm equal to the spot size of the laser at distance L, the pulse length only depends on the full angle divergence of the laser beam, θ, and the frequency of rotation, f. The aperture size, d, is described by d = Lθ. (3.1) The pulse length at FWHM on the diode is determined by the convolution of the diaphragm size and the Gaussian beam shape which can be written as 2 d 2 θ t L4πf = 4πf. (3.2) The factor 2 is determined by matching the diaphragm and Gaussian beam shape. Due to the small acceptance angle of the diode, the reflectivity of the mirror during the pulse can be taken as constant. At an angular divergence of θ = 0.9 mrad for the YAG laser and a maximum rotation frequency of f = 600 Hz, the minimum pulse width was 170 ns. The rotation frequency of the motor was determined by measuring the frequency of the incident light pulses on the diode. The DC sensitivity of the diode was determined by fixing the rotating mirror at the position of maximum photocurrent. The CW He/Ne laser was used to generate pulses between 330 ns and 1.2 ms at a low incident power of 1.6 mw. The YAG laser enabled a power of up to 118 mw, with a spot of 250 µm on the diode. The maximum power density in the spot was 248 W/cm 2. The influence of the incident laser power on the pulsed response, linearity and saturation were studied for pulse lengths between 170 ns and 12 µs. The consistency between the pulsed response of the diode using the YAG laser and He/Ne laser was verified at the low power level Diode read-out Figure 3.2: Measurement scheme for unbiased operation of the diode. The diode is directly connected to a storage oscilloscope. The AXUV-100 diode was electrically connected using two different schemes. Fig. 3.2 shows the first scheme, where the diode was connected directly to the 50 Ω input of a 1 GHz, 5 Gs/s storage oscilloscope. Fig. 3.3 shows a second setup, in which a reverse

36 3.3 Experimental 25 Figure 3.3: Scheme for biased operation of the diode. The diode is connected to a storage oscilloscope via a bias electronics circuit. bias voltage of 9 V was applied to improve the time response of the diode and to reduce saturation effects. The values of R and C in the bias circuit were optimized for the fastest diode response and the highest saturation level for pulse lengths in the range from 10 ns to 1 µs. It is noted that these pulse lengths are typical for most current applications of laser plasma and discharge plasma light source, including extreme UV lithography [53]. In order to maintain the reverse bias on the diode, the capacitor needs to be sufficiently large, i.e., be able to store several times the maximum charge expected. The resistor value R needs to be significantly larger than the internal resistance of the oscilloscope. In addition, the characteristic RC-time of the circuit needs to be sufficiently short to allow recharging of the capacitor within the rotation cycle of the light beam. The values shown in Fig. 3.3 result in a low-frequency cut-off of 5.0±0.5 µs and are optimal for most short pulse applications. The capacitor allowed storage of 10 the maximum charge collected for pulses shorter than 5 µs. The corresponding RC time for recharging of the capacitor of roughly 10 ms was of the same order as the pulse separation time for short, low-energy pulses and 3 10 shorter for long, high-energy light pulses. The 10 pf internal capacitance of the oscilloscope was sufficiently low to be of no further influence on the measurements, while the internal resistance could be taken as pure Ohmic. The AXUV-100 diode had an internal resistance of 60 Ω at small illuminated spot sizes and a capacitance varying between 3 nf without reverse bias and 0.92 nf at a reverse bias of 9 V. The junction potential was determined to be 0.71 V. Two parameters were used to describe the diode response: the collected charge, calculated from the total area under the diode response curve and the peak current. When not limited by saturation or time resolution of the diode, the peak current indicates the

37 26 Chapter 3 Linearity of P-N junction photodiodes under pulsed irradiation peak power on the illuminated diode area. The peak current, I p, is calculated from I p = V p R i, (3.3) in which V p is the maximum voltage measured by the oscilloscope and R i the internal resistance of the oscilloscope. 3.4 Results and discussion Figure 3.4: Peak current as a function of the incident power on the diode for pulses of 9±2 µs. Curve (a) indicates a diode without reverse bias and curve (b) diode with reverse bias. The dashed line is a linear fit through low-power data points. The upper dot-dashed line indicates the estimated saturation current for the diode with bias applied and the lower dot-dashed line indicates the saturation current without a reverse bias applied. The peak current from the illuminated diode was determined as a function of the incident laser power at a fixed and intermediate value of the pulse length of 9 µs. Fig. 3.4 shows the peak current as a function of the incident laser power for a fixed spot size on the diode. The peak current of the unbiased AXUV-100 (a) shows a non-linear response above 12 mw of incident power, with a saturation current of 6.0 ma. Biasing the diode (b) resulted in a linear response up to the highest measured incident power of 118 mw. Further measurements were divided into two ranges of incident power on the diode. In the first range, the incident power on the diode was 1.6 mw, well below the saturation level for a diode both with and without reverse bias. In the second range, the power was varied up to the maximum value of 118 mw, with the goal to investigate the possible influence of saturation for a variety of pulse lengths.

38 3.4 Results and discussion 27 Figure 3.5: Collected charge as function of the incident pulse length. Diode measurements without reverse bias are indicated by curve (a), measurements using a reverse bias are indicated by curve (b). The dashed lines indicate the calculated response of the bias electronics, fitted to the experimental data. Curve (c) indicates the ratio between the charges collected by the diode with and without reverse bias applied Low power measurements Fig. 3.5 shows the collected charge on the photodiode as a function of the pulse length at a low incident power of 1.6 mw. Under unbiased conditions (a), a linear increase of the collected charge was observed with the pulse lengths increasing from 330 ns to 1.2 ms. The maximum collected charge was 1 µc. When using a reverse bias on the diode (b), the collected charge showed a non-linear behaviour at pulse lengths longer than 5 µs. This deviation is caused by the low-frequency cut-off of the bias electronics. The dashed line in Fig. 3.5 shows the charge expected for an RC-time of 5 µs associated with the bias electronics used. The circuit cut-off is also visible from the ratio of charges collected with and without reverse bias (c). In Fig. 3.6 the peak current is plotted normalized to the current at DC illumination. At incident pulses longer than 3 µs, and without bias voltage (a), the peak current was independent of the pulse length and within the 2% error margin equal to the DC signal level. For shorter pulses a sharp decrease in peak current was observed, resulting from the finite time needed for the removal of the charge from the diode. The time needed to discharge the diode over the internal resistance of the scope and the diode is given by t diode = (R diode + R scope )C diode. (3.4) The 10 pf internal capacitance of the scope may be neglected and, when not applying the reverse bias, t diode amounted to 360 ns. The influence of this finite discharge time becomes negligible for pulses longer than 3 µs. When the diode was biased (b), its capacitance was lowered and t diode decreased accordingly. At an applied reverse bias of 9 V, the capacitance dropped from 3.0 to 0.92 nf resulting in a decrease in t diode from 360 to 100 ns. For pulse

39 28 Chapter 3 Linearity of P-N junction photodiodes under pulsed irradiation Figure 3.6: Peak current normalized to the DC height for both the diode without a reverse bias voltage applied (a), and with a reverse bias (b). lengths longer than 5 µs, a decrease in peak current is then seen, similar to the decrease in collected charge in Fig This effect is again caused by the bias electronics. The bias electronics was found to cause a minor loss in peak current. The peak current for the biased diode amounted to 99±2% of the peak current of the unbiased diode. Also the collected charge on the biased diode was 99±2% of the charge collected on the unbiased diode, for short pulses. This small difference is caused by the bias electronics, which act as a frequency filter on the diode current. The loss factor corresponds to the ratio of the external capacitance in the bias supply unit (100 nf) and the internal capacitance of the diode (0.92 nf for the biased diode) High power measurements The second series of measurements was performed at power levels between 3.50 and 118 mw, a range in which saturation effects can be expected. Fig. 3.6 shows the collected charge for various power levels as a function of the pulse length. When applying a reverse bias voltage, the collected charge showed an almost linear response up to the highest power. At the highest power of 118 mw we observed a slight decrease of the collected charge at longer pulse lengths, which is again attributed to the frequency cut-off at 5 µs of the bias electronics. Without a reverse bias, the collected charge remained linear as a function of the pulse length only up to a power level of 12 mw. At 118 mw a clear decrease in slope of the collected charge was observed at longer pulse lengths. Another way of representing the linearity of the diode is to measure the total collected charge versus the total deposited energy. The latter corresponds to the total amount of radiation incident on the diode. Fig. 3.8 shows the collected charge as a function of the deposited energy for various power values in the case of a diode with a reverse bias applied. The energy was varied by changing the pulse length of the incident pulse at fixed power levels. Apart from a minor deviation at pulse lengths longer than 5 µs, the

40 3.4 Results and discussion 29 Figure 3.7: Collected charge as function of the pulse length and incident laser power. Triangles indicate the unbiased diode and squares the biased diode. Figure 3.8: The collected charge as function of the deposited energy for a diode with a reverse bias for various incident power levels and constant spot sizes. collected charge indeed appears to depend on the incident energy only and is independent of the pulse length. In Fig. 3.9 the pulse shape of the diode current is shown as a function of time for various power levels on the diode of 118 mw. The time was normalized to the calculated pulse length of the radiation incident on the diode. The top 6 curves are the current traces of the diode with a reverse bias applied and the lower 6 curves are the current traces when not applying a reverse bias to the diode. The temporal response of the diode

41 30 Chapter 3 Linearity of P-N junction photodiodes under pulsed irradiation Figure 3.9: Pulse shapes for an unbiased diode and a biased diode for different pulse lengths at a high incident laser power of 118 mw. The time scale of all pulses is normalized to the pulse length of the incident radiation. with reverse bias applied did not change for different pulse lengths, apart from a slight decrease in peak current and an increase in relative width for shorter pulse lengths, caused by a limited response time of the diode. For an unbiased diode the peak current saturated at 6.0±0.6 ma. The relative pulse length decreased for a pulse length longer than 0.75 µs, decreasing the total collected charge. It is possible to calculate the decrease in collected charge caused by recombination, by comparing the pulse shape of the diode with a reverse bias and without a reverse bias. Assuming an exponential decay of charge and fitting the data to the known pulse, a recombination time of 15±5 µs was found for the highest power density on the diode. The measured saturation current of 6.0±0.6 ma is dependent on the maximum external voltage the diode can supply and the internal resistance of the oscilloscope according to Eq. (3.3). The maximum external voltage, V max, of the diode is fraction of the P-N junction voltage, V PN, as given by V max = V PN R scope R diode + R scope. (3.5) In a junction diode, the voltage generated over the junction can never exceed the P- N junction potential. For the AXUV-100 diode under investigation, this potential was calculated from the dopant distribution in the diode (given by supplier in footnote 1) and was found to be 0.71±0.05 V. Due to the limited illuminated diode area, the charge has to be transported through the thin top layer towards the contacts at the edge of the sensitive diode surface. This top layer, with a thickness of 150±10 nm, acts as a resistive element with a resistance of R diode = 60±5 Ω. The maximum voltage on the oscilloscope is thus reduced to 0.32±0.03 V, giving a maximum current from the diode of 6.4±0.6 ma. This calculated value is consistent with the maximum current measured. If the photoninduced charge production rate exceeds the value of 6.4 mc/s, a charge build-up takes place, which causes enhanced recombination in the diode. At 9 V external bias voltage,

42 3.5 Extrapolation to other wavelength bands 31 the maximum current was calculated to increase to 88 ma at a maximum voltage of 4.4 V on the oscilloscope. 3.5 Extrapolation to other wavelength bands Experimental verification of the pulsed calibration of the diode sensitivity at other wavelengths than the visible range, as applied in this work, would require an equally bright DC light source at the wavelength of interest. Especially in the EUV and soft X-ray bands, these are not readily available. However, an analysis of the diode composition and response does allow a reliable extrapolation, especially into the EUV and soft X-ray range. For such an extrapolation, the place and mechanism of charge generation is of importance. Being the most abundant atom in the diode, by 4 8 orders of magnitude, absorption will primarily take place in Si. Electron-hole generation at photon energies below 3.63 ev, the Si band gap energy, occurs by an indirect transition from the valence band to the conduction band, while energies above 3.63 ev cause a direct transition. At room temperature, phonons increase the cross-section for indirect transition. Taking the photon absorption lengths in Si into account, both types of transitions are estimated to take place on a sub-ps time scale, which is much faster than the pulses used for calibration. Figure 3.10: Attenuation length for radiation in Si [64, 65]. The dashed lines indicate the boundaries of the different layers. The dark band indicates the location of the depletion zone without reverse bias, while the light grey band indicates the increase of its size due to a reverse bias of 9 V. Indicated also are the wavelengths of the lasers used, at 532 and 633 nm. Fig shows the absorption length in Si for the wavelength range between 1 and 1000 nm. Indicated are the different dopant layers in the diode, as well as the location of the depletion zone. The size of this layer depends on the voltage of the reverse bias applied: without bias it stretches roughly from a depth of 150 nm to 3 µm, while a reverse

43 32 Chapter 3 Linearity of P-N junction photodiodes under pulsed irradiation bias of 9 V extends the layer to a depth of 11 µm. From Fig it follows that the largest fraction of he charge is deposited in the depletion zone for three photon energy bands: the extreme ultraviolet (EUV) range from 12.4 to 30 nm, the soft X-ray range from 1 to 3 nm, as well as the visible range. The electric field in the depletion zone enables fast removal of the charge. Based on the similarity of the absorption length for these three ranges, a similar dependence of the sensitivity on the pulse length can be expected as in the visible range. For other wavelengths, a part of the incident energy is deposited in field-free regions of the diode, making the slower electron and hole diffusion the dominant process. In the vacuum ultraviolet, the deposition of the energy close to the surface could give rise to increased recombination. The photon absorption process itself should not influence the pulse duration dependence of the sensitivity in the range of pulse lengths investigated. 3.6 Conclusion The sensitivity of a P-N junction photodiode has been studied under pulsed irradiation for various pulse lengths and intensities. A novel method was used to generate pulses from a DC light source, with a well-known pulse shape and length which is directly calibrated for all pulse lengths. For an unbiased diode exposed to an incident power of 1.6 mw, those found at the lower end of the investigated range in power levels, the temporal and intensity response was found to be independent of the pulse length in the range from 3 µs to 1.2 ms, the maximum pulse length investigated. At pulse lengths below 3 µs the collected charge remained linear with incident photon intensity, although the shape of the light pulse was no longer correctly reproduced. A reverse bias voltage improved the response time of the diode: the pulse response time decreased by a factor of 3. At a pulse length longer than 5 µs the bias electronics introduced a low frequency cut-off corresponding to the calculated cut-off by the bias electronics. This frequency cut-off can be shifted for a specific application by optimization of the bias electronics. At power levels higher than 12 mw the unbiased diode showed a strong decrease in peak current and a stretching of the pulse length. This effect is caused by saturation of the diode current. At these higher illumination levels, a charge was built up in the diode, inducing enhanced electron-hole recombination. At an incident power of 118 mw the diode was fully saturated for a pulse length of 11 µs, and electron-hole recombination significantly reduced the effective sensitivity of the diode. On the other hand, the biased diode showed no saturation effects up to the highest power of 118 mw. At this power level <2% of the charge was lost due to the frequency cut-off of the bias electronics up to pulse lengths of 5 µs. In summary, the sensitivity of the AXUV-100 photodiode remained constant as a function of the pulse length at radiation intensities up to 12 mw. The diode saturated at higher intensities, causing a decrease in collected charge per incident photon. Saturation was prevented by applying a reverse bias voltage of 9 V, although the characteristics of the bias electronics caused a loss of charge at pulse lengths longer than 5 µs.

44 3.7 Acknowledgements Acknowledgements We acknowledge the assistance of Giel Berden with the laser facilities of the Felix group, and thank Frank Scholze (PTB, Berlin ) and Raj Korde (IRD, Torrance, CA ) for the discussions regarding results and explanations. This research was part of the programme of FOM, the Dutch organization for Fundamental Research on Matter, and was sponsored by STW (Technology Foundation) in The Netherlands and the EC funded INCO-Copernicus programme.

45

46 Chapter 4 Absolute calibration of a multilayer based XUV calibration setup 4.1 Abstract A portable, universal narrow-band XUV diagnostic suitable for calibration of various XUV light sources, was built, tested and fully calibrated. The diagnostic allows measurement of the absolute XUV energy and average power in two selected wavelength bands. In addition, the pulse-to-pulse and long term XUV stability of the source can be assessed, as well as the contamination of multilayer XUV optics exposed to the source. This paper describes the full calibration procedure: all optical elements were calibrated at the wavelength of operation by Physikalisch-Technische Bundesanstalt at the storage ring Bessy II, a full analysis of geometrical factors was done, and the influence of the spectral emissivity of the source on the calibration was analyzed in detail. The calibration was performed both for the centre wavelength as for the full bandwidth of the diagnostic. The total uncertainty in the absolute calibration allowed measurement of source characteristics with an uncertainty of less than 5%, and a shot-to-shot repeatability to less than 2%. The uncertainty was mainly determined by external factors like background gas distribution and spectral source characteristics. Examples of application to a laser plasma and discharge plasma XUV source are given. 4.2 Introduction At present, a variety of XUV sources are available for scientific and industrial applications requiring XUV radiation, i.e. in the wavelength band from about several tenths to several tens of nanometres. These range from materials analysis techniques like X-Ray Fluorescence Analysis to high resolution imaging techniques, such as X-Ray Microscopy and Extreme UV Lithography. In the last few years different new source concepts have been developed, such as the Astron concept [66] and XUV free electron lasers [67], while existing sources, like laser produced plasmas and z-pinch devices [68, 69] have drastically been improved. Each source concept usually possesses its specific radiation characteristics concerning intensity, spectral and spatial distribution, and repetition rate, and each concept has its particular non-radiation related effects, like particle, or debris emission [70] and EM-field production. Different measurement techniques exist to determine the emission of 35

47 36 Chapter 4 Absolute calibration of a multilayer based XUV calibration setup these XUV sources, each having their specific advantages and disadvantages. These techniques range from detection by simple filtered diodes, calorimeters [16], CCD and streak cameras [71], via spectroscopy using reflection and transmission gratings [72, 32, 73], to cryogenic detectors like superconducting tunnel junctions [74] and cryogenic radiometers [57]. The advantage of the classical transmission grating or grazing incidence reflection grating spectrograph is its possibility to measure the spectral distribution of radiation, in certain configurations at high resolving power. Rapid data acquisition, though, is usually not straightforward, and the absolute calibration of a grating spectrograph is time consuming and spectrally sensitive to, e.g., contamination effects. An alternative, the radiation calorimeter, can be calibrated quite easily, is inexpensive, but lacks an inherent wavelength selectivity. Using a band-pass filter, the wavelength probed can be limited, yet this yields average values of the energy only. In addition, in the case of high repetition sources, the time response of tens of microseconds can be a limitation in uncertainty, while the ability to measure pulse-to-pulse stability is also lost. The cryogenic radiometer, as used at PTB as primary detector standard for the calibration of reference detectors like photodiodes, shows basically the same disadvantages as the calorimeter for the calibration of broad-band sources. Additionally, due to the low-temperature operation, it is much harder to implement as a portable standard. When compared at the same XUV source, the different diagnostics may show considerably different numbers of the source characteristics measured. This severely hinders a fair comparison of the performance of sources developed at different locations. We selected and further developed a calibration diagnostic based on a multilayer XUV mirror, as being the most straightforward to calibrate and the most reproducible in its use at different kinds of sources. The diagnostic described consists of a combination of a multilayer mirror, a filter and a photodiode detector. The mirror serves to select a narrow wavelength band, typically between 1 and 5%, while the filter stops any radiation outside this band. The diode usually enables time resolved, as well as measurements at high source repetition rates. Each element can be separately, and straightforwardly calibrated, or replaced in case of contamination or damage. If used at normal incidence geometries, possible artefacts due to polarization can be excluded. The diagnostic was used in a source bench-marking effort of candidate sources for extreme ultraviolet lithography (EUVL), an activity that became known as Flying Circus (FC) [53]. EUVL is a most demanding application in the XUV wavelength range primarily in terms of the markedly high XUV power required, the source stability and the virtual absence of any contamination [20]. Two examples of application of the diagnostic, to a laser plasma and a discharge plasma XUV source are given. Since these sources were developed for EUVL, the wavelength of operation of the diagnostic was 13.4 and 11.4 nm. This paper describes the experimental setup of the XUV diagnostic, the calibration and calibration uncertainty of its various components as well as the diagnostic as a whole. This procedure is done both for in-band and for broad band radiation outside the responsivity band of the system.

48 4.3 Setup Setup The XUV calibration diagnostic consists of two wavelength channels, which each consist of a curved multilayer optic, a matched filter, and a semiconductor, silicon n-on-p photodiode. The measurement scheme is shown in Fig The multilayer is used to select a Figure 4.1: Measurement scheme of one of the channels of the XUV calibration diagnostic. The full diagnostics contains two independent channels, which can be tuned to pre-selected wavelength bands. narrow wavelength band, of which the centre position is determined by the d-spacing of the multilayer system. The multilayer mirrors are produced in-house [75], and are, when composed of Mo/Si systems and used in normal incidence geometry, available for the wavelength band from 12.4 to 60 nm. Other bands require different multilayer systems, for example, Mo/Be. The Mo/Be mirrors used in this work at 11.4 nm, were produced at LLNL [76]. The combination of the multilayer with a filter eliminates uncertainties due to spectral contributions from different wavelength bands. The method applied has the added advantage of collimating the radiation onto the filtered detector, contributing to an improved signal-to-noise ratio or a greater working distance and less sensitivity to contamination. The advantage over optics used at angles around 45 is the absence of polarization effects by the multilayer reflection. The individual optical components have been calibrated separately with low uncertainty at the SX-700 reflectometry beam-line of PTB at BESSY II [77]. Depending on the source geometry available, the unit can either be used in a separate vacuum chamber or mounted in an existing source vacuum chamber. 4.4 Calibration of individual elements Oscilloscope and signal integration A 1 GHz storage oscilloscope was used (Tektronix TDS 680B), for which the calibration was used as provided by the manufacturer. 1 The uncertainty in the oscilloscope gain was 1.5% within the signal range used. The uncertainty in signal readout by the scope s A/D converter was 1.5% for each data point. For the integration of the signal, more than 1000 time-samples were used, reducing any additional uncertainty in integration to less than 0.1%. The internal resistance of the scope, R scope, was verified to be (50.0±0.2) Ω. The signal integration was performed by full integration of the signal collected, with subtraction of a small offset. This offset, mainly caused by the dark current in the 1 Tektronix Technical Reference

49 38 Chapter 4 Absolute calibration of a multilayer based XUV calibration setup photodiode, was less than 5 mv in all cases. The uncertainty in the numerical integration of the signal, mainly determined by the manually set integration limits, was determined by integration of the signal of a blinded photodiode. This uncertainty was found to be 1.0% Bias electronics A small reverse bias voltage was used to decrease the response time of the photodiode, leading to an improved responsivity and signal-to-noise ratio. The bias supply electronics also act as a low frequency cut-off filter, separating the high-frequency photodiode signal from the DC bias voltage. Due to this frequency filtering, a small fraction of the charge generated in the diode is lost in the bias electronics [78] (Fig. 4.2). This charge transfer efficiency of the bias electronics, η bias has a value of 0.99±0.02. Figure 4.2: Measurement schematics for biased operation of the photodiode. The signal is transported via the bias electronics to a storage oscilloscope Photodiode Calibration of the AXUV-100 photodiodes at PTB [79] was done by comparing the diodes to a PTB reference diode with known responsivity, resulting in a 2% uncertainty. A typical diode responsivity is shown in Fig. 4.3, plotted as a function of the wavelength. The responsivity, η diode, of the diode was (0.257±0.005) A/W at 13.4 nm and (0.239±0.005) A/W at 11.4 nm. The radiation hardness of the diodes was determined by a prolonged exposure to XUV radiation at a wavelength of 9.5 nm [80]. No change in responsivity was found up to about 10 mj/mm 2. At 9.5 nm, just below the Si-L-edge, the absorption of the top oxide layer is maximal, causing a high sensitivity to surface damage. Under typical usage conditions, i.e. during source calibration, the total XUV dose on the diodes was

50 4.4 Calibration of individual elements 39 less than 5 mj, in a spot of 1 1 mm 2, giving a decrease in efficiency of less than 1%, when assuming a linear decrease in responsivity with incident energy. Figure 4.3: AXUV-100 photodiode spectral responsivity. The photodiode was calibrated at normal incidence and used at 2 off normal. The difference in response resulting from this is less than 10 5 and is therefore neglected. To avoid saturation at high power levels, the photodiode was positioned slightly away from the focus of the multilayer mirror. The smallest spot on the diode was 0.5 mm diameter. The maximum incident power density in this case was 10 W/cm 2, well below the threshold value for saturation, which was measured to be at 60 W/cm 2 [78] Filter Two types of filters were used. A 3 3 mm 2 Nb filter of 200 nm thickness on a substrate of 100 nm of Si 3 N 4 was used for measurements at 13.4 nm. For measurements at 11.4 nm, a 212 nm thick Zr filter supported by a 70 lpi Ni mesh of 40 µm wires was used (mesh transmission 79%). Both filters were calibrated by PTB. The transmission, T filter, was 0.173±0.003 for the Si 3 N 4 /Nb filter at 13.4 nm and 0.319±0.005 for the Zr filter including mesh at 11.4 nm. An additional uncertainty caused by the mesh of the Zr filter was estimated to be 5% (described below). The transmission of the two filters is shown in Fig. 4.4 and Fig. 4.5 and is in reasonable agreement with a calculation using absorption data published at the Center for X-ray Optics -website [64] (solid curve of Fig 4.4 and 4.5). The transmission of the Si 3 N 4 filter was calculated from the absorption coefficients of Si and N separately, in the ratio of the compound. The difference with the measured data around the Si-L-edge at 12.4 nm is believed to be caused by the formation of Si-N bonds. These slightly influence the inner shell energy levels of Si and cause the L edge to shift by 0.47 nm, or 3.9 ev (Fig. 4.4) [81, 82]. Fig. 4.6 and Fig. 4.7 show the variation of the transmission of the filters across the surface. It is noted that the intensity scales are not at the same relative units.

51 40 Chapter 4 Absolute calibration of a multilayer based XUV calibration setup Figure 4.4: The transmission of the Si 3 N 4 /Nb filter. The points indicate the measured data from PTB, while the line denotes the simulated transmission taking a two-element composition. Figure 4.5: The transmission of the 212 nm Zr filter. The points indicate the measured data from and the line the simulated transmission, including the transmission of the mesh. The Si 3 N 4 /Nb filter had a transmission homogeneity within 0.5% over the full surface of 3 3 mm 2 used. The image of the source was aligned to the centre of the Si 3 N 4 /Nb filter. The maximum measurable source size was determined by the dimensions of the filter and the demagnification of the optics. In our examples, the source was imaged on the 3 3 mm 2 filter using a demagnification of 4. The Zr filter (Fig. 4.8) showed a large fluctuation in transmission with a regular

52 4.4 Calibration of individual elements 41 Figure 4.6: Spatial distribution of the transmission of the Si 3 N 4 /Nb filter using a 600 µm probe beam at nm. Figure 4.7: Transmission of the Zr filter using a 300 µm probe beam at nm. pattern, which was determined by interference between the 300 µm wide probe beam and the supporting grid having a lattice spacing of 360 µm. By defocusing the spot on the filter this effect could be reduced. In Fig. 4.8 the ratio is plotted between the calculated minimum and maximum yield in the case of a circular Gaussian spot. In the same figure the sizes of the largest and smallest sources are indicated when focused on the filter. The alignment can be optimized for maximum transmission, and as long as the variations in the position of the image of the source are much smaller than the grid spacing, the uncertainty is reduced to the uncertainty in the positional stability. Due to the uncertainty introduced by the mesh, an underestimation of the source intensity of less than 1% was expected for a spot size at the filter of less than 1.1 mm diameter Multilayer mirror Wavelength selection was done by the multilayer mirror having a predefined centre wavelength. For 13.4 nm a Mo/Si mirror was used consisting of 50 bi-layers of Mo/Si made by FOM [75, 83]. The Mo/Be mirrors for 11.4 nm were produced at LLNL [76]. Both mirrors were calibrated at the PTB facility before (Fig. 4.9) and after the source mea-

53 42 Chapter 4 Absolute calibration of a multilayer based XUV calibration setup Figure 4.8: Minimum (a) and maximum (b) and average (c) transmission of the mesh on the Zr filter and the maximum relative uncertainty (d) introduced by the mesh as a function of the de-magnified source size. Vertical lines indicate the largest source (1, Z-pinch) and the smallest source (2, LPP) investigated. Figure 4.9: Mo/Be (a) and Mo/Si (b) multilayer reflectivity curves. surements. In practice, mirror degradation during different source calibration runs were taken into account by taking a clean spot for each run. The peak reflectivity of the Mo/Si mirror, R mirror, was found to be 0.682±0.002, with a FWHM bandwidth (BW), δ mirror, of (0.530±0.007) nm or (3.96±0.05)%. The Mo/Be had a peak reflectivity of 0.628±0.002, with a FWHM bandwidth of (0.301±0.006) nm or (2.65±0.05)%.

54 4.4 Calibration of individual elements 43 In practice, the mirrors were measured at an angle of 1.5 off-normal and used at 2. The difference in reflectivity, centre wavelength and bandwidth due to the minor increase of the incident angle was less than 0.1% and was corrected for. The mirrors are the elements most sensitive to contamination. Depending on the source, a decrease in reflectivity of up to 9% was observed, although the source of the contamination was not determined. The contamination was build up during long term exposures; short term exposures gave a decrease of less than 1% in reflectivity. Alignment of the system to the source was performed by adjusting the mirror angle, with a maximum deviation of 0.3. The change in incident angle lead to a maximum variation in throughput of <0.2% and is negligible compared to other uncertainties Diaphragm and solid angle A circular diaphragm was fitted on the multilayer mirror to reduce the incident radiation intensity and to use a well defined area on the mirror. The diameter of the diaphragm was measured using an optical microscope with an uncertainty of 10 µm. The solid angle, in which radiation was accepted, was then calculated using also the source-mirror distance. Figure 4.10: Reduced effective aperture due to the slightly off-normal angle of the incident radiation. The angle of the XUV light incident on the mirror-diaphragm combination was slightly off-normal (2 ), causing a reduction of the effective aperture(fig. 4.10). The effective aperture area is given by A eff = 1 πr(r cos(ϕ) 2d sin(ϕ)), (4.1) 4 with d the distance between mirror and diaphragm, R the size of the diaphragm and ϕ the angle of incidence on the mirror. The typical value of d was 0.40 mm, while the diaphragm size, R, was (3.82±0.01) mm. With an angle of incidence of 2.0 off-normal, the correction was 0.8% and the effective area was 11.4 mm 2. The mirrors typically were located at a distance of (116.5±0.5) cm from the source. The effective solid angle, Ω, captured by the mirror was (8.40±0.05) µsr.

55 44 Chapter 4 Absolute calibration of a multilayer based XUV calibration setup Gas transmission In the case of EUVL, the plasma sources are usually generated using Xe as target gas. This may result in a low-pressure background of Xe in the vacuum chamber and a partial absorption of the XUV radiation. In order to obtain the value of the XUV intensity near the source, a correction was applied for the gas absorption, assuming an average pressure in the system. The transmission was determined by measuring the gas pressure in the system and calculating the transmission, taking data from the CXRO website [64]. For the two example sources characterized using this diagnostic, the pressure was in the range from 0.3 to 6 mtorr. This pressure was measured close to the source at a distance taken to be representative for the full optical path length between source and detector. The total path length through Xe was (144.0±0.5) cm. Fig shows the Xe transmission for these conditions; the value, T gas, at both 13.4 and 11.4 nm was 0.79±0.03. Figure 4.11: Calculated Xe gas transmission for a pressure of 2 mtorr at a path length of 144 cm. Indicated are the two wavelengths investigated, 11.4 and 13.4 nm. The uncertainty is mainly caused by the uncertainty in the gas pressure. 4.5 Single wavelength calibration Starting from the calibration of the separate components, described in the previous section, the overall response and calibration uncertainty of the full XUV diagnostic can be calculated. For an initial approximation, this can be calculated for a single wavelength, i.e., the centre wavelength of the multilayer mirror. This approximation is valid as long as the spectral variation of the calibration of the individual elements is negligible. The overall source energy, described in the Appendix, is given by E source = 2π Ωδ mirror T gas R mirror T filter η bias η diode R scope A scope, (4.2)

56 4.6 Extended wavelength band calibration nm 13.4 nm Parameter Variable Unit Value σ (%) Value σ (%) Integrated scope signal A scope nvs Resistance oscilloscope R scope Ω Efficiency bias electronics η bias Photodiode efficiency η diode A/W Filter (Zr) T filter N/A N/A Filter transmission (Si 3 N 4 /Nb) T filter N/A N/A Filter homogeneity σ filter Mirror reflectivity (Mo/Be) R mirror N/A N/A Mirror reflectivity (Mo/Si) R mirror N/A N/A Solid Angle Ω µsr Gas Transmission T gas Mirror bandwidth (Mo/Be) δ mirror % N/A N/A Mirror bandwidth (Mo/Si) δ mirror % N/A N/A Table 4.1: Overview of the separate calibration factors for 11.4 and 13.4 nm, including error margins in the measurement (σ). The gas transmission was based on Torr cm in the optical path. with the mirror bandwidth, δ mirror, given in relative units of % from the centre wavelength of the mirror. E source is the energy emitted by the source, obtained in units of J/(%BW 2π sr), with A scope the integrated voltage on the scope determined by A scope = (V (t) offset)dt, (4.3) with Ω the acceptance angle of the diagnostic, T gas and T filter the transmission of the gas and filter respectively, R mirror the mirror reflectivity, η bias and η diode the efficiency of the bias electronics and diode, and R scope the internal resistance of the oscilloscope (see also section 4.4). An overview of all calibration factors for 11.4 and 13.4 nm is shown in Table 4.1. Combining all values, found for the individual calibrations, in Eq. 4.2, results in the value of the overall calibration of the XUV diagnostic. At 13.4 nm the energy of the source for a certain, measured oscilloscope signal, A scope, is then given by E source = (0.159±0.008) A scope mj/(% BW 2π sr), while at 11.4 nm, the XUV energy is given by E source = (0.151±0.015) A scope mj/(% BW 2π sr), in both cases with A scope in units of nvs. The total uncertainty of 5% for 13.4 nm is mainly determined by the uncertainty in gas transmission. The 7% total uncertainty at 11.4 nm is mainly determined by the uncertainty (5%) in the transmission of the mesh on the Zr filter. 4.6 Extended wavelength band calibration The single wavelength calibration (Section 4.5), should be extended to include spectral variations of the responsivity of the setup and of the source intensity. Especially the later generally shows strong variations as a function of the wavelength. For more accurate determination of the source intensity, the photodiode measurements should also be combined with spectral characteristics of the source. As an example, spectra for two types of sources measured with the diagnostic and the overall responsivity of the diagnostic are given in Fig The in-band energy is defined as the amount of energy that can be

57 46 Chapter 4 Absolute calibration of a multilayer based XUV calibration setup Figure 4.12: Examples of spectra of two sources measured: the spectrum of an LPP (a) and the spectrum of a Z-pinch (b). Shown is also the overall responsivity curve of the XUV calibration diagnostic (c). collected by a mirror and is determined by the wavelength dependent reflectivity of the multilayer mirror: I0 I s (λ)r mirror (λ)dλ E in band =, (4.4) R mirror (λ max ) with the relative spectral intensity of the source as measured by a spectrograph in arbitrary units I s (λ) and R mirror the reflectivity of a multilayer mirror. I 0 is the normalization factor from the relative spectral intensity as obtained with the spectrograph to the absolute scale. E in band thus represents the spectral radiant power of the source weighted by the mirror bandwidth. The normalization factor I 0 is obtained by rewriting Eq. 4.2, with the mirror bandwidth being replaced by the integration over wavelength of the reflectivity: I0 I s (λ) A scope = 2π ΩT gas(λ)r mirror (λ)t filter (λ)η bias η diode (λ)r scope dλ. (4.5) I 0 can now be readily calculated: I 0 = 2π ΩR scope Is (λ)t gas (λ)r mirror (λ)t filter (λ)η bias η diode (λ)dλ A scope. (4.6) The single mirror in-band intensity of the source is now calculated by combining Eqs. 4.4 and 4.6 into E in band = CA scope, (4.7) with C = 2π Is (λ)r mirror (λ)dλ, (4.8) ΩR scope Is (λ)t gas (λ)r mirror (λ)t filter (λ)η bias η diode (λ)dλ R mirror (λ max )

58 4.6 Extended wavelength band calibration 47 single point White spectrum Z-pinch LPP calibration spectrum spectrum spectrum 1 Mirror Mirrors Mirrors Mirrors % bandwidth % bandwidth Table 4.2: The calibration factors for the XUV diagnostic for two different sources and desired bandwidths for the 13.4 nm detection channel. The factor C now includes the full wavelength dependent calibration of the XUV calibration tool. The emission in a 1% bandwidth is calculated by choosing the integration range in the second term of Eq. 4.8 to a 1% band around the wavelength of interest and setting R mirror in the second term equal to 1. 2 For a white spectrum in wavelength, given by I s (λ) = constant, C equals mj/(nvs %BW 2π sr) for the emission in a bandwidth of 1%. This value should be compared to the mj/(nvs %BW 2π sr) found in section 4.5 for a single point calibration. The product of peak reflectance and bandwidth, with bandwidth defined as FWHM, is substantially lower than the integrated reflectance of the multilayer mirror, causing the deviation between single point calibration and full wavelength calibration Multiple-mirror systems In most applications knowledge is desired on the emission in a specific bandwidth, for example that of a multiple-mirror system such as in EUVL. The constant C in Eq. 4.7 can easily be rewritten to calculate that in-band energy, from the measured oscilloscope signal. Rewriting Eq. 4.8 to the generalized, multiple-mirror form leads to C n = 2π Is (λ)r mirror n (λ)dλ ΩR scope Is (λ)t gas (λ)r mirror (λ)t filter (λ)η bias η diode (λ)dλ Rmirror n (λ, (4.9) max) C n now gives the full wavelength dependent calibration for an n-mirror system. The values found for C n using the XUV calibration diagnostic is given in Table 2 for a number of bandwidths and (hypothetical) sources (13.4 nm), in units of mj/(nvs %BW 2π sr). The second column gives the single point calibration, with the 1% value equal to the value found at the end of Section 4.5.The peaked structure of the Z-pinch spectrum, and to a lesser extent the LPP spectrum, have a relatively larger fraction of the energy in a narrower band around the central wavelength, which is reflected in a larger value of the calibration. Neglecting the spectral correction can lead to an additional uncertainty between 1.1 and 16.9% depending on the source and the desired bandwidth. The uncertainty due to spectral instabilities has only played a minor role: the shot-to-shot variation of the calibration factor for a single spectrum was less than 0.7%. 2 An equivalent definition, for 2% bandwidth, is used in EUVL for the throughput of future lithographic multi-mirror systems.

59 48 Chapter 4 Absolute calibration of a multilayer based XUV calibration setup 4.7 Out-of-band radiation The calibration of (the elements of) the XUV calibration diagnostic cannot be limited to the direct range in wavelength, since radiation might also be transmitted from bands outside the calibrated range. Such contributions can be separated in two regimes Limited XUV calibration range The bandwidth of the XUV calibration diagnostic is mainly given by the reflectivity characteristics of the multilayer mirror. Outside this bandwidth the responsivity rapidly drops. Side wiggles of the multilayer reflectivity can cause a relatively large contribution by out-of-band radiation. Fig shows the fraction of out-of-integration-band EUV power with respect to the total EUV power, when integrating over a limited range in wavelength. The figure shows the ratio for the sources investigated, as well as the total spectral responsivity of the setup. For the source calibration at 13.4 nm and a wavelength independent spectrum, 99.5% of the collected energy is originating from within the wavelength range from nm, assuming a symmetrical distribution of outof-integration band radiation. The Z-pinch spectrum is more peaked around 13.4 nm, allowing for a narrower calibration range for the same uncertainty. The LPP has an intense spectral feature near 11 nm. A slight extension of the calibration range to shorter wavelengths (12.0 nm) was needed to obtain an uncertainty of 99.5%. The assumption that all radiation originates from this limited wavelength range gives an overestimation of the XUV yield up to (0.5±0.25)% for the sources under investigation. Figure 4.13: The fraction of out-of-integration-band EUV power with respect to the total EUV power when integrating over a limited wavelength range for three different sources: a) Z-pinch, b) LPP and c) a hypothetical source with a wavelength-independent spectral intensity. Curve d) indicates the spectral responsivity of the XUV calibration diagnostic. Also indicated are the lower (left) and upper (right) 0.25% level of the integration for the various sources.

60 4.8 Conclusion VUV/Vis/IR light The second type of out-of-band radiation is the radiation detected in the VUV, the visible and infrared regions. The photodiode is sensitive for the wavelength range between 1 µm and 1 nm. Obviously, for a proper XUV measurement, the photodiode must be shielded from this radiation. Most non-xuv radiation is blocked by the filter and mirror combination. Fig shows the transmission of the filters and background gas, the reflectivity of the multilayer mirror and the responsivity of the photodiode used in the calibration diagnostic from visible up to X-rays. The response curves of the various elements were calculated from [64, 65]. Between 1 and 100 nm and above 240 nm the calculations were fitted to experimental data 3 [9, 84]. The mirror-filter combination thus effectively confines Figure 4.14: Xe gas transmission(a), AXUV-100 photodiode responsivity(b), Si 3 N 4 /Nb filter transmissions(c) and Mo/Si multilayer mirror reflectivity (d) for the wavelength range from 1 to 1000 nm, for the 13.4 nm channel. the wavelength range under investigation. Fig shows the total system responsivity for the case of a single and double visible light blocking filter. When using one filter, the responsivity outside the multilayer mirror bandwidth does not exceed a factor of 10 4 of the responsivity within the mirror bandwidth. The influence of the out-of-band radiation contribution was tested for the two example sources by comparing data taken with none, one and two filters. The second filter suppressed the visible light and other out-of-band radiation by a factor of Conclusion A universal, narrow bandwidth, XUV calibration diagnostic is discussed, and a comprehensive description is given of the calibration procedure and the uncertainty analysis. The 3 The calibration of a similar photodiode (AXUV-16ELO) was preformed at the Optical Metrology Laboratory at ALS, Berkeley, Ca, by the manufacturer, IRD

61 50 Chapter 4 Absolute calibration of a multilayer based XUV calibration setup Figure 4.15: The total system responsivity for the 13.4 nm channel as function of the wavelength for a single visible light blocking filter (solid line) and a double filter (dashed line). diagnostic allows calibration of source properties like absolute in-band XUV intensity and average power, pulse-to-pulse and long term XUV intensity stability and contamination and multilayer lifetime. Individual optical elements of the setup were calibrated at the operational wavelength, each with an uncertainty of less than 2%. The overall uncertainty of the XUV diagnostic was less than 5% at 13.4 nm and less than 7% at 11.4 nm. At 13.4 nm the overall uncertainty was mainly determined by the background gas distribution (3.8%), while at 11.4 nm, a filter grid caused an additional uncertainty of 5%. For short term measurements, when gas pressure variation and mirror contamination do not play a role, the repeatability is better than 2%. In practical experience, the uncertainty of the individual alignments is well within these accuracies, which confirms the claimed advantage of portability. Depending on the final application, spectral features of the source within the bandwidth of the mirror may give rise to an additional uncertainty. A full evaluation, including the recalculation to systems with multiple mirrors, is given. In the case of application to EUVL, this uncertainty remains below 1% assuming knowledge on the relative spectral distribution of the source intensity. 4.9 Acknowledgements The authors thank Henri Fledderus for the technical support, René de Bruijn for assistance in testing the system and the coating group (Eric Louis, Edward Maas, Marc Kessels, and Sebastian Oestreich) for producing the optics. We also acknowledge Claude Montcalm and Jim Folta (LLNL) for supplying the Mo/Be multilayer optics. The Nb filters were deposited by Marcel Bruijn of SRON, Utrecht.

62 4.10 Appendix: Calculation of the XUV yield Appendix: Calculation of the XUV yield The XUV yield of the source was calculated starting from the measured signal, tracing the signal back to the source. The XUV pulse detected by the photodiode was registered on a storage oscilloscope. After subtraction of the dark current of the photodiode the integrated charge Q scope is found by (V (t) offset) dt Q scope =, (4.10) R scope with R scope the internal resistance of the oscilloscope. The total energy collected by the photodiode, E diode, is then calculated by E diode = Q scope η bias η diode, (4.11) with η bias the efficiency of charge transfer of the bias electronics and η diode the responsivity of the photodiode. The responsivity includes the reflectivity on the surface of the photodiode. Assuming that all the radiation reflected by the mirror reached the filter area, then the total energy falling on the multilayer mirror on the open area, and in the mirror bandwidth is determined by E mirror = E diode T filter R mirror, (4.12) with T filter the filter transmission and R mirror the mirror reflectivity. In the solid angle given by the diaphragm on the mirror at a certain distance from the source, the energy emitted by the source is calculated. The power emitted by the source, per 2π solid angle, per bandwidth, corrected for the gas transmission is calculated by E source = 2πE mirror Ωδ mirror T gas, (4.13) with δ mirror the bandwidth at FWHM of the mirror (%), T gas the gas transmission and Ω the solid angle determined by Ω = A eff L 2, (4.14) with A eff the diaphragm area corrected for the deviation of the incident angle on the mirror from normal incidence and L the distance from the mirror to the source. The value of E source is equal to the real energy emitted in a half sphere by the source in the case of an isotropic emitter. For other sources the angular distribution needs to be determined and the factor of 2π to be replaced by the appropriate factor. The ratio between the net integrated area scope signal and the energy emitted by the source is given by equations ( ). The value of the total emitted energy becomes: E source = 2π Ωδ mirror T gas R mirror T filter η bias η diode R scope A scope, (4.15) with A scope the integrated signal on the scope determined by A scope = (V (t) offset) dt, (4.16) with E source in units of J/(%Bandwidth 2π sr).the bandwidth is given as a percentage of the centre wavelength of the mirror and is therefore without dimension.

63

64 Chapter 5 Flying Circus EUV source comparison: absolute yield, yield fluctuations and contamination 5.1 Abstract A portable, narrow-band XUV diagnostic (Chapter 4, [85]) has been applied in a source bench-marking effort for Extreme UV Lithography (EUVL). Data are reported on five different EUV light sources, each of a different source concept. 5.2 Introduction In parallel to the development of EUV lithography (EUVL), world-wide a number of EUV light sources are rapidly being developed for this application. The variety of the concepts used for these sources, namely different discharge and laser plasma excitation schemes, and the urge for the lithography industry to narrow down these source development options, has imposed a need for a global source bench-marking activity. A number of source prototypes were thus characterized to investigate their suitability as a light source for an EUV prototype wafer stepper. This report represents an overview of the phase in the development of these different candidate sources and allows for a comparison of the emission characteristics and the general development status. The light sources included in this bench-marking activity represent five different source concepts, each state-of-the-art in their respective principle of operation. They are: a Z- pinch plasma, developed at Plex LLC, Brookline, Ma, USA [68]; a plasma focus source, named dense plasma focus (DPF), developed at Cymer Inc., San Diego, Ca, USA [86, 87]; a laser produced plasma (LPP) [51] and a capillary discharge (CD) [88], both developed at Sandia National Labs, Livermore, Ca, USA and a hollow cathode triggered pinch plasma (HCT) at the Fraunhofer Institute for Laser Technique, Aachen, Germany [69, 89]. These sources were already characterized by the respective source developers, but in different ways using a variety of detection methods. These ranged from calorimetry, filtered junction diodes, to grazing incidence spectroscopy. It was the explicit purpose of the Flying Circus (FC) bench-marking activity to characterize all sources using the same, absolutely calibrated diagnostics allowing a comprehensive comparison. 53

65 54 Chapter 5 Flying Circus EUV source comparison Results of the measurements of the different EUV light source characteristics measured in FC are described. Source characteristics measured include the absolute in-band EUV intensity and average EUV power at 11.4 and 13.4 nm, the pulse-to-pulse and long term EUV intensity stability, and the contamination and multilayer mirror lifetime. The wavelengths of interest, 11.4 and 13.4 nm, were determined by the spectral features of the sources under investigation, which all used Xe to generate the plasma, and the properties of the multilayer mirrors available in the EUV wavelength region. 5.3 Diagnostics The full Flying Circus diagnostics have been described earlier in Stuik et al. [53], and the calibration procedure is given in Chapters 3 [78] and 4 [85]. With the absolute XUV diagnostic the EUV power could be determined with an uncertainty of <5% and the EUV stability with an uncertainty better than 2%. The contamination diagnostic consists of different multilayer mirrors which are exposed to the source during prolonged intervals (Fig. 5.1). The lifetime criterion of the optics was set by the number of shots causing a Figure 5.1: Scheme of the contamination diagnostic. Three elements were used: a Si wafer, a 6 layer multilayer sample and a full stack multilayer. 10% loss of the multilayer reflectivity. The contamination from the source was measured at the location proposed for the first condenser optic in later lithography schemes. Two different types of samples were exposed during prolonged measurements: a full stack multilayer having the approximate wavelength of interest, and few-layer samples suitable for Auger analysis. The reflectivity curve was measured before and after the exposure during a large number of shots and recalculated to a 10% decrease in reflectivity. This recalculation assumes a linear increase in contamination. Verification of the linearity has not been performed in this investigation. The second series of samples, consisting of 6-layer multilayer mirrors, served to determine the cause of a possible decrease in reflectivity and were characterized using Auger depth profiling. The limited number of layers allowed to label the layer number, and to determine the layer dependent composition of the multilayer mirror. The analysis gave information on effects such as deposition and

66 5.4 Results 55 implantation of contamination and etching of the mirror, and polishing or roughening of the sample surface. The Auger depth profiling and Atomic Force Microscopy were performed at Philips Research, Eindhoven. 5.4 Results For each source the measurement conditions, absolute EUV energy per pulse, average EUV power, short and long term stability and multilayer lifetime are presented Z-pinch 1 This discharge source represented the conventional cylindrical pinch geometry, with an RF excited pre-ionization [16]. During the FC measurement period the source parameters were a stored energy of 100 J/pulse, a repetition rate of 50 and 100 Hz, Xe plasmas and a collectable angle of 0.1 sr. Figure 5.2: Evolution of the EUV yield (a = 13.4 nm, b = 11.4 nm) and pulse-to-pulse fluctuations (c = 13.4 nm, d = 11.4 nm) of the Z-pinch during a long term exposure. The input energy of the Z-pinch was the highest of all sources investigated. The single shot EUV yield was also the highest with a typical energy of 94 mj/(2% BW 2π sr shot) at 13.4 nm and 35 mj/(2% BW 2π sr shot) at 11.4 nm. With an average repetition rate of 50 Hz this corresponded to 72 mw/(2% BW 0.1 sr) at 13.4 nm and 28 mw/(2% BW 0.1 sr) at 11.4 nm. A 2 4 higher yield was measured by a calorimeter filtered with a Zr band-pass filter, a discrepancy which has only partially been explained by a visible and infrared light contribution and the difference in temporal behaviour of the two diagnostics. Comparison with a similar mirror-diode setup led to values comparable with the values measured using FC. The EUV intensity, as shown in Fig. 5.2, decreased slowly over the measurement period of three hours, leading to long term intensity fluctuations of 11% (1σ RMS). Pulse-to-pulse fluctuations, on a scale of several seconds, as shown in Fig. 5.3, give a 1 Measurements performed at Plex LLC, Brookline, MA, in the period 6-16 March 2000.

67 56 Chapter 5 Flying Circus EUV source comparison Figure 5.3: Pulse-to-pulse fluctuations in the Z-pinch yield at a repetition rate of 50 Hz for 13.4 nm (a) and 11.4 nm (b). Each individual pulse has been plotted. pulse-to-pulse fluctuation of 4% at 13.4 nm (1σ RMS). At 50 Hz the pulse-to-pulse timing jitter was roughly 5 ns (1σ RMS). Figure 5.4: The reflectivity of the full stack multilayer mirror before (a) and after exposure (b) to the Z-pinch source. For the contamination test, shots at 100 J were made over a period of 3 hours at 50 Hz. The contamination diagnostics were placed at a distance of 57 cm. After shots the full stack multilayer mirror reflectivity had decreased by 7%, as shown in Fig Recalculating the decrease to 10% gives a multilayer mirror lifetime of shots. Analysis of the 6-layer mirror showed a deposition of 4 5 nm of C on the mirror. This carbon was most likely etched from non-uhv parts exposed to fast ions from the source. The main properties of the Z-pinch at the time of the measurements were a high uptime (100% at 50 Hz, >3 hours), the highest average EUV power of the discharge sources investigated and small pulse-to-pulse EUV fluctuations. On the other hand, the

68 5.4 Results 57 high energy per pulse makes that up-scaling to the repetition rate required for EUVL design (>5 khz) would require an excessive input power. The reduced acceptance angle makes it relatively simple to match the etendue of the source to the optical system, but also reduces the maximally collectable EUV radiation. Within the first six months following the FC measurements, the Z-pinch showed a further optimization of the EUV yield, with a factor of 1.7, up to 0.26 W in-band, while the lifetime was increased up to shots Dense Plasma Focus 3 This source, of the type of a plasma focus geometry with a co-axial cylindrical electrode configuration, was run at 20 Hz, with 12 J/pulse and a Xe/He mixture as the working gas. The open geometry of the anode/cathode system of the source in principle enables collection of radiation over an angle of 2π sr. Figure 5.5: Evolution of the EUV yield (a) and pulse-to-pulse fluctuations (b) of the DPF during a long term exposure of 1 hour at 13.4 nm. The typical EUV yield from the DPF was found to be 4.6 mj/(2% BW 2π sr shot) at 13.4 nm and 9.0 mj/(2% BW 2π sr shot) at 11.4 nm. This yield corresponds to 96 mw/(2% BW 2π sr) at 13.4 nm and 0.18 W/(2% BW 2π sr) at 11.4 nm. The source intensity was showed a gradual decrease during long exposures, with long term intensity fluctuations of 3% (1σ RMS), as seen in Fig However, the pulse-to-pulse fluctuations, as shown in Fig. 5.6, were larger than any other source, with a best value of down to 35% fluctuation at 13.4 nm (1σ RMS) The contamination measurements at the DPF were performed at a distance of 25 cm, with an energy of 12 J per pulse and a repetition rate of 20 Hz. During the 1 hour experiment the contamination samples were exposed to shots. Fig. 5.7 shows that the reflectivity decreased by 5% during this exposure. Recalculation led to a multilayer mirror lifetime of shots. 2 Communication with M. McGeoch, PLEX LLC. 3 Measurements performed at Cymer Inc., San Diego, CA, in the period June 2000.

69 58 Chapter 5 Flying Circus EUV source comparison Figure 5.6: Pulse-to-pulse fluctuations in the DPF yield at a repetition rate of 20 Hz, at 13.4 nm. Each individual pulse has been plotted. Figure 5.7: The reflectivity of the full stack multilayer mirror before (a) and after (b) exposure to the DPF source. The source was capable of stable operation over longer time at the repetition rate of 20 Hz, with an option of scaling the system up to multi-khz operation. The large pulse-to-pulse fluctuations in the EUV yield however, were the highest measured in the benchmark. The source showed a low electrical to EUV efficiency, but reduction of the high Xe/He pressure in the chamber might lead to a significant increase in the collectable EUV yield. In the months after FC, the main improvements at the dense plasma focus device were on stability, which improved to 12% 1σ RMS, and a measured yield in burst mode (1 khz) of 6.8 mj/(2% BW 2π sr shot) at 13.4 nm, at similar input energy [90].

70 5.4 Results Laser Produced Plasma 4 The plasma in this source type was generated using a 1667 Hz, diode-pumped Nd:YAG three-laser system with a total pulse energy of 0.75 J. A cryogenic Xe gas/cluster jet was utilized as a target. The source geometry allowed for a collection angle of π sr. The LPP uses the lowest energy per pulse, and the corresponding typical EUV yield, 3.4 mj/(2% BW 2π sr shot) at 13.4 nm and 4.2 mj/(2% BW 2π sr shot) at 11.4 nm are the lowest yields per pulse of the sources investigated. Due to the high repetition rate however, the highest average EUV powers were measured: 2.8 W/(2% BW π sr) at 13.4 nm and 3.5 W/(2% BW π sr) at 11.4 nm. Figure 5.8: Evolution of the EUV yield (a = 13.4 nm, b = 11.4 nm) and pulse-to-pulse fluctuations (c = 13.4 nm, d = 11.4 nm) of the LPP during a long term exposure of 12 minutes. Figure 5.9: LPP pulse-to-pulse fluctuations at a repetition rate of 1.7 khz for 13.4 nm (a) and 11.4 nm (b). Each individual pulse has been plotted. 4 Measurements performed at the Sandia National Laboratories, Livermore, CA, in the period 23 June - 5 July 2000.

71 60 Chapter 5 Flying Circus EUV source comparison Heating of the nozzle during the exposure caused the source to become instable in the long term with the longest exposure being 12 minutes, as seen in Fig After 12 minutes less than half of the incident laser energy was absorbed by the target gas. The laser system itself is stable within several percent, but instabilities in the gas jet limited the pulse-to pulse fluctuations to 9% at 13.4 nm (1σ RMS). Fig. 5.9 shows the EUV yield over an interval of 0.6 seconds. The fluctuations are limited by a sharp upper limit, where target and plasma conditions are optimal, but at the low side the limit value is less pronounced, mainly due to fluctuations in the laser absorption in the target. The maximum duration for the contamination exposure was 12 minutes, which resulted in an exposure of the multilayer mirrors, positioned at 12 cm from the source, of shots of 0.75 J/shot. During the exposure the reflectivity dropped by 7%, as seen in Fig Recalculation to a decrease of 10% leads to a mirror lifetime of shots. Analysis of the 6-layer samples showed that the reduction in reflection was mainly caused by oxidation of the mirror. Figure 5.10: The reflectivity of the full stack multilayer mirror before (a) and after (b) exposure to the LPP source. Despite the low input energy per pulse, the LPP showed the highest average in-band EUV power due to the high repetition rate. Also the mirror lifetime, expressed in number of shots, was the longest. The high power near the cooled jet however, led to instabilities in the jet, and consequently in the EUV yield. The LPP requires a two step conversion process: from electric energy to laser light, and from laser light to EUV radiation. Though the laser plasma is relatively small and easily manoeuvrable, the laser system requires a large footprint. Finally, the LPP was the only source where an additional visible light blocking filter was needed for suppression of out-of-band emission Capillary Discharge 5 The CD, a discharge plasma source generated from a narrow cylindrical geometry with negligible pinching effect, was operated at 20 Hz, 6 J per pulse and Xe pre-filled capillaries. The current setup allowed for collection of π sr of emitted radiation. 5 Measurements performed at the Sandia National Laboratories, Livermore, CA, in the period 6-12 July 2000.

72 5.4 Results 61 At the above conditions, the EUV yield was found to be 10.4 mj/(2 % BW 2π sr shot) at 13.4 nm and 13.1 mj/(2% BW 2π sr shot) at 11.4 nm. Given a repetition rate of 20 Hz and collectable angle of π sr, the average EUV power was 104 mw/(2% BW π sr) at 13.4 nm and 131 mw/(2% BW π sr) at 11.4 nm. As seen in Fig. 5.11, the source showed a gradual decrease in source intensity of roughly 50% in 1 hour. The pulse-topulse fluctuations, as seen in a 5 second interval were as low as 9% at 13.4 nm (1σ RMS). The pulse-to-pulse timing jitter was 175 µs (1σ RMS). Figure 5.11: EUV yield (a = 13.4 nm, b = 11.4 nm) and pulse-to-pulse fluctuations (c = 13.4 nm, d = 11.4 nm) of the CD during a long term exposure. Figure 5.12: Pulse-to-pulse fluctuations at a repetition rate of 20 Hz. Each individual pulse has been plotted. The contamination measurements were performed at a distance of 12 cm, at 20 Hz, with an energy of 6 J per pulse. The total exposure was 75 minutes, giving 10 5 shots on the mirror. The mirror showed a decrease of 84%, as seen in Fig Linear interpolation gave a mirror lifetime of less than 10 4 shots. Analysis of the 6-layer multilayer mirror

73 62 Chapter 5 Flying Circus EUV source comparison showed that the decrease was mainly caused by deposited capillary and electrode material. Figure 5.13: The reflectivity of the full stack multilayer mirror before (a) and after (b) exposure to the CD source. The gas load of the Capillary Discharge was the lowest and allowed for a low pressure of EUV absorbing Xe in the output region and vacuum vessel. In principle the source is scalable to multi-khz operation, but shows the shortest multilayer mirror lifetime. The large jitter in timing was believed to be caused by the simple, spark plug triggering mechanism. The most significant improvements to the capillary discharge in the period following the FC characterizations were a reduced contamination by 40, increased repetition rate and improved electrode design [91] Hollow Cathode Triggered pinch plasma 6 In the HCT concept a discharge plasma is utilized with a discharge initiation by a hollow cathode geometry. The measurements were performed at a repetition rate of 30 Hz, with 2 J per pulse. Xe was used for plasma generation. Using the current setup a collection angle of 2 sr was available and burst mode operation of 500 Hz was demonstrated. The EUV yield was 2.4 mj/(2% BW 2π sr shot) at a wavelength of 13.4 nm and 2.6 mj/(2% BW 2π sr shot) at 11.4 nm. With the given collection angle and repetition rate, this lead to an average in-band EUV power of 23 mw/(2% BW 2 sr) at 13.4 nm and 26 mw/(2% BW 2 sr) at 11.4 nm. As seen in Fig. 5.14, initially the EUV yield drops by about 25%. This drop is caused by heating of the electrodes, at constant gas pressure and sequential lower gas density in the target region. After 40 minutes the system was in thermal equilibrium, and the long term stability became 3% (1σ RMS). Pulse-to-pulse fluctuations down to 4% (1σ RMS) at 13.4 nm were observed. As the system used self-induced triggering, the timing jitter was relatively large, about 300 µs pulse-to-pulse, 1σ RMS. The contamination measurements were performed during 2 1 hours at 30 Hz, leading 2 to shots. The mirrors were positioned at 15 cm, and exposed to 2 J per shot. In 6 Measurements performed at the Fraunhofer Institute for Laser Technique, Aachen, Germany in the period July 2000.

74 5.4 Results 63 Figure 5.14: Evolution of the EUV yield (a = 13.4 nm, b = 11.4 nm) and pulse-to-pulse fluctuations (c = 13.4 nm, d = 11.4 nm) of the HCT during a long term exposure. Figure 5.15: Pulse-to-pulse fluctuations at a repetition rate of 30 Hz, at 13.4 nm. Each pulse has been plotted. this test, the reflectivity dropped by 11%. The resulting multilayer mirror lifetime was shots. Analysis of the 6-layer multilayer samples showed a deposition of Al 2 O 3, the insulator material, inside the hollow cathode. The HCT pinch plasma showed a high uptime, 100% at 30 Hz for 2 1 hours, at small 2 long-term and pulse-to-pulse fluctuations of 3 and 4% 1σ RMS respectively. Due to the low energy per pulse, the device was claimed to be scalable to multi-khz operation. A burst test of several minutes was done at 500 Hz operation. Drawbacks at the time of the FC characterizations were instable operation at repetition rates above 500 Hz and a relatively large timing jitter at 30 Hz. The last issue could be solved by external triggering which resulted in a reduced timing jitter at high frequency of less than 4 µs. The most significant improvements in the months after FC were the demonstration of operation up to 540 Hz for more than 30 minutes and a burst mode of 1.1 khz for several minutes [92].

75 64 Chapter 5 Flying Circus EUV source comparison Figure 5.16: The reflectivity of the full stack multilayer mirror before (a) and after (b) exposure to the HCT source. 5.5 Summary In the Flying Circus EUV source bench-marking programme five candidate light sources have been investigated, with respect to the potential application to Extreme Ultraviolet Lithography. The absolute in-band EUV power, the pulse-to-pulse and long term stability, and contamination of these sources have been investigated following a single, standard characterization approach. The data, recorded within a period of 4 months during 2000, provide a point of reference in the development of these sources. The main results are summarized in Fig Fig Figure 5.17: The average in-band EUV power within the collectable angle for the five investigated sources. Shown are both the power at continuous operation (dark) and the power in burst operation (light). As can be seen from Fig. 5.17, the maximum power recorded at the time of the benchmark programme was 2.8 W, achieved by the LPP. This is still a factor of 20

76 5.5 Summary 65 Figure 5.18: The in-band conversion efficiency in a semi-sphere. Figure 5.19: The sustained (dark) and maximum (light) repetition rate removed from the minimum power required for EUVL, for which at the time of writing of this thesis an average in-band power of between 47 and 120 W, collectable and clean EUV radiation in 2% bandwidth at 13.5 nm [9] is required. This power is measured in an intermediate focus to include debris mitigation techniques and initial collection. Loss factors due to the use of debris mitigation techniques and limited collection efficiencies are not yet included in the factor 20. Fig shows that the LPP has the highest conversion efficiency from laser energy coupled into the plasma into EUV energy. The more meaningful parameter in this frame is the total wall-plug efficiency which includes the electrical-to-laser conversion step, about 10-20%. For the discharge sources the efficiency mentioned starts from the electrical energy stored in the capacitor banks. Notable is that this wall plug-euv efficiency then becomes roughly equal for all sources when calculating the full wall-to-euv efficiency. Fig shows the repetition rate for the different sources. Again the LPP showed the highest repetition rate, 1700 Hz, though other sources showed comparable repetition rates in burst mode and were expected to be able to reach similar repetition rates within a year. The repetition rate required by the application was >5 khz.

77 66 Chapter 5 Flying Circus EUV source comparison Figure 5.20: Multilayer mirror life time, as defined by 10% decrease in reflectivity (solid). Indicated is also the total in-band EUV energy within the mirror lifetime (dots). Results on contamination are shown in Fig All sources show a life time which is at least 10 5 below the required lifetime of pulses, obviously constituting a potential showstopper for EUV Lithography. Within the first half year following the FC activity significant improvements have been reported. The standard of EUV source characterization, as introduced by Flying Circus, has been accepted by the EUVL community as the standard diagnostic for EUV sources. 5.6 Acknowledgements The author especially acknowledges the assistance by Raluca Constantinescu, Petra Hegeman and Jeroen Jonkers of Philips Research. Acknowledged are - Malcolm McGeoch and Paul Hegan (PLEX LLC) - Igor Fomenkov and Bill Partlo (Cymer Inc.), - Dick Anderson, Howard Bender, Luis Bernardez, Dean Buchenauer, Neil Fornaciari, Dough Hahn, Kevin Krentz, Glenn Kubiak, Paul Rocket and Ken Williams (Sandia National Laboratories), and - Klaus Bergmann, Rainer Lebert, Willi Neff and Oliver Rosier (Fraunhofer-Instituts f r Lasertechnik ILT). The project was funded by ASML. Vadim Banine, Jos Benschop and Roel Moors are acknowledged for their support, and making the arrangements with the various source developers. Eric Louis, Marc Kessels, Edward Maas, Sebastian Oestreich and Andrey Yakshin are acknowledged for their multilayer mirrors at 13.4 nm. The coating group at LLNL (Jim Folta and Claude Montcalm) provided the mirrors for 11.4 nm. Calibration of the FC was mainly performed at PTB, Bessy II by Bernt Meyer, Detlef Schmitz and Frank Scholze and was sponsored by Carl Zeiss. Peer Zalm performed the Auger analysis of the contaminated samples. The support at FOM by Henri Fledderus and by Caspar Bruineman, from Scientec Engineering has been a great help.

78 5.7 addendum addendum The Flying Circus campaign gave the first comprehensive overview of the status of the different sources being developed for EUVL. Since the campaign, executed in the first half of 2000, all sources have showed significant improvements in all aspects of performance, for example in power, as shown in Fig Simultaneously, the development and construction of the alpha-tool, an EUVL demonstration machine, continued, giving rise to new source specifications, like stricter requirements on contamination, gas flow and out-ofband radiation. These additional requirements have let to the definition of a secondary focus, i.e. the focus of source collection optics, in which the clean, usable photons have to be delivered. Loss factors from filters, collection optics and debris mitigation systems are now included, leading to a considerable increase in the demand of raw EUV power. If all loss factors need to be incorporated, the power required by EUVL has grown faster than the power demonstrated by the state-of-the-art EUV sources.

79

80 Chapter 6 Luminescent Materials for EUV Detection Purposes 6.1 Abstract In this paper we present the results of an elaborate study to investigate the properties of luminescent materials for extreme ultraviolet (EUV) detection in EUV lithographic tools. The study presented here involves both bulk single crystalline samples and thin film samples. Bulk single crystalline samples with polished surfaces are industrially available, while thin film samples were home-made by performing physical vapour deposition (PVD) of several luminescent materials on polished quartz substrates. Careful pre-selection of these phosphors was based on multiple strong criteria. The measured results presented here include time-resolved luminescence decay, luminescence spectra and luminescence linearity measurements under deep-uv (248 nm) and EUV (13.5 nm) excitation. Our results indicate the possible use of two luminescent materials, being YAG:Ce (bulk) and CaS:Ce (thin film), for EUV detection in EUV lithographic tools. Practical use of these luminescent materials, however, will require a high EUV power in order to obtain a sufficient signal-to-noise ratio. 6.2 Introduction Historically, luminescent materials have been used for an extensive variety of applications. These applications range from sophisticated medical appliances, such as X-ray imaging, to domestic applications, such as household luminaries and fluorescent lamps. More recently, luminescent materials have been utilized for DUV detection in deep-uv lithography tools. Key advantages of implementing luminescent materials in such detection applications are; implementation of standard optical detection and imaging systems with transmittive luminescent samples; and prevention of detector radiation damage to silicon photodiodes due to the absorption of deep-uv (DUV) and extreme-uv (EUV) photons [93]. In this paper we present the results of an elaborate study to investigate the use of luminescent materials, or phosphors, for EUV detection in EUV lithographic tools. Phosphors may provide an appropriate alternative for EUV detection currently scheduled to be performed with standard silicon photodiodes that are known to show performance degradation under high intensity EUV conditions [93]. The study presented here involves 69

81 70 Chapter 6 Luminescent materials for EUV bulky single crystalline samples and thin film samples. Large single crystalline samples with polished surfaces are industrially available 1,2,3. Thin film samples were home-made by performing physical vapor deposition (PVD) of several phosphors on quartz substrates. These phosphors were pre-selected on a number of selection criteria including their deep- UV luminescent performance [94, 95, 96]. For a general introduction to the concept of luminescent materials we refer to the book by Blasse et al. [96]. Elaborate luminescence experiments have been performed both under pulsed deep-uv (DUV) and pulsed EUV excitation. Our results presented here include time-resolved luminescence decay, luminescence spectra and luminescence linearity measurements with excitation power. Our results indicate two candidate luminescent materials, being YAG:Ce (bulk) and CaS:Ce (thin film), for EUV detection. EUV detection consists of dose sensing at wafer level and EUV source diagnostics. The latter includes source dimension analysis, spatial position stability, EUV yield, and time-resolved behavior in general. This manuscript is structured as follows. In Sec. 6.3 we present the phosphor material selection criteria and discuss the thin film sample preparation method. Section 6.4 presents an overview of the experimental equipment. In Sec. 6.5 we present the experimental results including surface roughness measurements. We will summarize and discuss our findings in Sec Sample preparation Requirements on the luminescent materials This study aims at the investigation of phosphors for an EUV lithographic tool, a pulsed, high power device, requiring high vacuum and low maintenance. Selection of appropriate phosphors for EUV detection is therefore performed under guidance of the following selection criteria: (i) supreme conversion efficiency from EUV into visible light indicated by their DUV and electroluminescence performance [94, 95, 96], (ii) decay rate of luminescence after pulsed excitation must be fast in comparison with typical scanner system time scales such as source repetition rate, while a slow decay would decrease signal-tonoise ratio on the -fast- detector, (iii) stable phosphor layers of nm thickness must be easily deposited on quartz substrates by means of physical vapour deposition (PVD) (thin film samples only), (iv) evaporation/outgassing of phosphor material is not allowed in high vacuum conditions, (v) no conversion efficiency degradation or surface quality degradation is to be observed after long time EUV exposure. Selected materials in the form of bulk single crystals are; Y 3 Al 5 O 12 :Ce (YAG:Ce), YAl 3 O 5 :Ce, Y 2 (SiO) 5 :Ce and Bi 12 GeO 20. Selected materials to perform PVD experiments with (available in the form of fine powders) are; CsI:Na, CaS:Ce, ZnS:Ag,Cl, and Y 2 O 3 :Bi. A first set of proofof principle experiments was performed with these materials as delivered by commercial vendors (see footnotes 1,2,3). Powder samples were prepared by attaching double gluesided TESA film to a quartz substrate and subsequently pressing this construction into the phosphor powder. In this way the TESA film surface is covered with roughly a monolayer of the small crystalline particles. Time-resolved luminescence experiments were performed under DUV and EUV irradiation with detection in transmission (see Sec. 6.4). 1 Crismatech: 2 Phosphor Technology: 3 Marketech International:

82 6.4 Experimental setup 71 Layer thickness Technique Concentration Technique Concentration 500 nm XRF 1.2 mol% Ce WCA 1.1 mol% Ce 800 nm XRF 1.2 mol% Ce WCA 1.1 mol% Ce Table 6.1: Cerium concentration in vacuum deposited thin film CaS:Ce samples. From these experiments the materials YAG:Ce and CaS:Ce were observed to reveal the best luminescence properties Deposition of thin CaS:Ce layers on quartz substrates The source material used is calcium sulphide (CaS) powder containing a dote of 1 mol% Cerium (Ce) as obtained from Phosphor Technology (see footnote 2). X-ray diffraction (XRD) measurements reveals a single-phase cubic (a=5.65 Å) lattice structure. The PVD equipment works with samples of solid material, made of the crude powder by means of cold hydrostatic compression. Electron beam irradiation of the sample locally vaporizes the material into its constituent atoms which find their way to the bare substrate. Thin film samples of CaS:Ce deposited on quartz surfaces have been manufactured with a thickness sequence of 150 nm, 500 nm, 800 nm, and 1200 nm. XRD measurements on 500 nm and 1200 nm thick layers of CaS:Ce on quartz reveal a crystalline structure with a preferential ordering in the (111) direction in both cases. Hence the deposited thin films already have a crystalline structure. Contrary to the powder samples, none of the thin film samples reveal luminescence under illumination with UV radiation from a mercury lamp. Annealing is proposed as a method to induce luminescence. Considering the fabrication process of CaS:Ce phosphor(see footnote 2), a sample of CaS:Ce powder is fired for 1 hr at 600 C under an H 2 S atmosphere. The powder sample shows a strongly reduced luminescence under UV illumination. However, a sample of CaS:Ce powder fired for 1 hr at 600 C under an N 2 atmosphere shows no observable change in its luminescence yield. Based on these observation a thin film sample is fired for 10 minutes at 600 C under a N 2 atmosphere. Luminescence under UV illumination is now observed though weak. Additional trials yield a coherent annealing recipe to obtain optimal UV luminescence as observed by eye; 15 minutes of firing at C under a N 2 atmosphere. Afterwards samples are stored in argon filled bottles and sealed. Additional XRD analysis of fired thin film sample again reveals a crystal structure with the preferential ordering in the (111) direction. Apparently, luminescence is feasible after the crystal has released stress by means of structural shifts without changing the overall structure dramatically. X-ray fluorescence (XRF) and wet chemical analysis (WCA) yields the results tabulated in Table 6.1 indicative of a complete transfer of the source material to the deposited thin films. 6.4 Experimental setup The luminescence experiments presented here have been carried out at the EUV source facility of the FOM-Institute Rijnhuizen. A schematic drawing of this facility is depicted in Fig The facility is driven by a high power KrF excimer laser producing laser pulses of ns duration with a pulse energy up to 1.0 J at a wavelength of 248 nm. The laser beam is focussed down to a spot of 25 µm, for this experiment on metal surfaces, creating a

83 72 Chapter 6 Luminescent materials for EUV Figure 6.1: Schematic drawing of the vacuum part of the EUV source facility located at the FOM-Institute Rijnhuizen. The DUV beam enters the facility from the left. EUV generated by the Tantalum plasma is reflected by a curved mirror towards the sample. The EUV beam path is 76 cm long. Both types of samples are in the form of discs with an 8 mm diameter and an 1 mm thickness. Note the motorized quartz plate for dark current measurements. hot plasma with temperatures up to 70 ev. Up to 50% of the input laser energy is emitted by the plasma into broadband UV and EUV radiation. The efficiency for generating 13.5 nm radiation in a 2% bandwidth has been measured to be 0.85%. With the laser operating at 50 Hz, this results in an average power in the EUV range of 500 mw. Two separate channels, each with curved multi-layer mirrors, focus the EUV radiation onto our home-build sample holders. The diode sample holder contains a photodiode positioned in the focus of a multi-layer mirror. Spectral filters and/or samples can be mounted in front of the samples and/or the diode to study the EUV pulse shape, the luminescence dynamics in time and the conversion efficiency from EUV to visible light. In the fiber optics sample holder a phosphor sample is positioned in the focus of the second multilayer mirror. Visible light emitted by the sample is collected by optics and transmitted by means of broadband quartz fibers and a vacuum feed-through to the calibrated PC-based Ocean Optics 2000 spectrometer recording the spectrum of luminescence. Both holders are stray light sealed by means of a black cap. 6.5 Experimental results Input pulse shape measurements The temporal DUV pulse shape produced by the high-power excimer laser is measured with an AXUV-100 silicon diode manufactured by IRD 4. When highly biased these diodes are capable of resolving events of 5 ns time scale. In Fig. 6.2 the result of this measurement is presented. The DUV pulse is characterized by a full width at half maximum (FWHM) of 29 ns The DUV pulse carries 0.9 J/pulse at a wavelength of 248 nm. The temporal 4

84 6.5 Experimental results 73 Figure 6.2: Temporal DUV pulse shape measured with the diode placed behind a surface roughened hence strongly scattering quartz plate in order to avoid hot spots on the diode surface. The pulse is characterized by a full width at half maximum (FWHM) of 29 ns. Figure 6.3: Temporal EUV pulse shape showing a rapid increase to a maximum after which it shows a well defined exponential decay. The pulse is characterized by a full width at half maximum (FWHM) of 29 ns and an exponential decay time of 16.8 ns. shape of the EUV pulse, depicted in Fig. 6.3, is measured with the AXUV-100 silicon diode. In front of the diode thin Si 3 N 4 /Nb (50/100 nm) foil is placed to suppresses all visible or DUV light contributions. The temporal EUV pulse is characterized by a full width at half maximum (FWHM) of 29 ns and an exponential decay time of 17 ns. Based on additional measurements it is estimated that the unattenuated EUV pulse carries 2.0 µj of energy per pulse at a central wavelength of 13.5 nm. The spectral width of the EUV pulse is determined by the spectral emission profile of the plasma and the spectral

85 74 Chapter 6 Luminescent materials for EUV reflection profile of the multi-layer mirror Luminescence experiments During DUV experiments a phosphor sample is irradiated under atmospheric conditions with the direct KrF excimer laser beam. To obtain comparable DUV and EUV intensities on the samples the DUV beam intensity is attenuated three orders of magnitude by reflecting the beam twice on large quartz plates. A plastic sheet in between the phosphor sample and the diode detector absorbs all remaining DUV radiation hence avoiding false signal detection. No fluorescence was observed from the plastic sheet. During EUV experiments a phosphor sample is irradiated in vacuum by EUV radiation produced with the laser plasma source. Dark current and/or stray light measurement are performed with a motorized quartz plate blocking the EUV beam. A Si 3 N 4 /Nb thin film filter placed in front of the phosphor sample acts as a spectral filter transmitting 42% of the incoming EUV but attenuating visible or DUV light at least a factor Hence neither false signal originating from the plasma source or any luminescence excited by scattered DUV light is measured. Crystalline samples of YAG:Ce Figure 6.4: Normalized time resolved luminescence of a YAG:Ce single crystal sample excited by pulsed DUV irradiation. Note the well-defined exponential decay over nearly three order of magnitude with a characteristic decay time of 68.5 ns. Figure 6.4 shows the luminescence measured on a YAG:Ce single crystal sample after excitation by pulsed DUV irradiation. After a rapid increase to a maximal value (normalization point) a well-defined single exponential decay occurs with a characteristic decay time of 68.5 ns. Single exponential behavior is confirmed by matching a double exponential function to the data yielding an amplitude ratio of 40 in favor of the fast relaxation contribution.

86 6.5 Experimental results 75 Figure 6.5: Normalized time resolved luminescence of a YAG:Ce single crystal sample excited by pulsed EUV irradiation (solid curve). Note the double exponential decay over nearly two order of magnitude illustrated by the matching fit function (dashed curve) characterized by two time scales; 93.4 ns and 285 ns with an amplitude ratio of 9.3 in favor of the fast relaxation contribution. Figure 6.5 shows the luminescence measured on a YAG:Ce single crystal sample after excitation by pulsed EUV irradiation. The normalized luminescence reveals a double exponential decay confirmed by matching a double exponential function to the data yielding two time scales, 93.4 ns and 285 ns respectively, with an amplitude ratio of 9.3 in favor of the fast relaxation contribution. The occurrence of a double exponential relaxation can be explained by the different mechanisms of excitation by either DUV or EUV radiation. DUV photons are directly absorbed by the rare-earth ion without any energy transfer with the host lattice. In the case of EUV irradiation it is the host lattice that absorbs the high energy photon and converts it into lattice excitations with some energies tuned to the absorption spectrum of the rare-earth ion. Then the rare earth ion is excited and subsequently decays to the ground state under photon excitation. The resulting decay behavior observed is the convolution of the time behavior of the process of energy transfer and the rare-earth ion de-excitation. Assuming both processes to be exponential in time the resulting decay behavior observed is a double exponential decay in time. The longer time scales involved are attributed to the addition of internal energy transfer process rates. Linearity of the luminescence with the sample irradiating EUV intensity is of key importance. The experimental setup allows for the introduction of a low-pressure N 2 buffer gas into the vacuum chamber, absorbing EUV radiation without emitting luminescence. The EUV transmission of the buffer gas is exponentially proportional to the pressure of the gas. The integrated time-resolved luminescence decay measured at different settings of the buffer gas pressure is proportional to the number of detected photons. The measured result is shown in Fig. 6.6 where an exponential decay of the integrated luminescence with the buffer gas pressure is observed. This indicates that over the range of EUV intensities investigated, the luminescence is linear with excitation EUV power. In Fig. 6.7 the luminescence spectrum measured on a YAG:Ce single crystal sample

87 76 Chapter 6 Luminescent materials for EUV Figure 6.6: Time-integrated luminescence recorded on a YAG:Ce single crystal sample excited by EUV irradiation at various pressures of the nitrogen buffer gas (solid box). The luminescence decays exponentially with the buffer gas pressure (dashed line) indicative of luminescence linearity with EUV power. Figure 6.7: Spectrally-resolved luminescence recorded on a YAG:Ce single crystal sample excited by DUV irradiation(solid curve) and EUV irradiation (noisy curve). Spectra are normalized to the maximal value. Note the similarity of both curves. after excitation by pulsed DUV irradiation is depicted. For convenience the spectrum is normalized to its maximal value and is characterized by the peak location at 540 nm and a FWHM of 110 nm. In Fig. 6.7 the EUV induced luminescence spectrum measured on a YAG:Ce single crystal is also depicted. The spectrum is characterized by the peak location at 540 nm and a FWHM of 108 nm. The spectra depicted in Figs. 6.7 are similar except for a small bump around 800 nm. However, comparing the shape of both spectra can only be done after proper normalization. Instead of normalizing to maximal values

88 6.5 Experimental results 77 a more proper way is to normalize the spectra to their content, i.e., to the surface area underneath the spectra representing the total number of decay channels. The difference between both spectra then represents the difference in the decay channels present for different excitation wavelengths. The latter is shown in Fig Figure 6.8: Difference between spectra recorded on a YAG:Ce single crystal sample excited by DUV and EUV irradiation. Both spectra depicted above are normalized to their spectral content and compared by subtraction. The spectral difference amounts to 0.2% max. of the recorded spectra. Clearly the shape of the spectra differ, though not much, other than observed by eye. Hence the decay mechanism after DUV excitation is slightly different from the decay mechanism after EUV excitation, perhaps by an additional dark transition process explaining the decay time differences. Thin film samples of CaS:Ce Figure 6.9 shows the typical time-resolved luminescence measured on the [150 nm, 1100 C] thin film CaS:Ce sample after excitation by pulsed DUV irradiation. The luminescence shows a rapid increase to a maximal value from where a multi-exponential decay occurs. Matching a multi-exponential function to the data yields three time scales, 58 ns and 325 ns and 3277 ns respectively, with relative amplitudes of 1/10 and 1/53 with respect to the fast relaxation contribution. Relaxation times are observed not to systematically change with film thickness. Figure 6.10 depicts the typical luminescence decay measured on the [150 nm, 1100 C] thin film CaS:Ce sample after excitation by pulsed EUV irradiation. Matching a multiexponential function to the data yields three time scales, 55 ns and 350 ns and 3730 ns respectively, with relative amplitudes of 1/10 and 1/90 with respect to the fast relaxation contribution. The occurrence of a multi exponential relaxation indicates the presence of a number of different de-excitation mechanisms in the crystal although the host lattice of CaS is simple cubic. Perhaps the different possible locations of the rare-earth ion in the host lattice, each constituting a de-excitation mechanism with a different time constant,

89 78 Chapter 6 Luminescent materials for EUV Figure 6.9: Normalized time resolved luminescence decay of the [150 nm, 1100 C] CaS:Ce thin film sample after excitation with pulsed DUV radiation. Matching a multiexponential function to the data yields three (3) time scales, 58 ns and 325 ns and 3277 ns respectively, with relative amplitudes of 1/10 and 1/53 with respect to the fast relaxation contribution. Figure 6.10: Normalized time resolved luminescence decay of the [150 nm, 1100 C] CaS:Ce thin film sample after excitation with pulsed EUV radiation. Matching a multiexponential function to the data yields three (3) time scales, 55 ns and 350 ns and 3730 ns respectively, with relative amplitudes of 1/10 and 1/90 with respect to the fast relaxation contribution. explains the observed behavior. Because for either DUV or EUV irradiation a comparable multi exponential relaxation is observed it must be the host lattice that is absorbing the incoming energy quanta in both cases.

90 6.5 Experimental results 79 The energy conversion efficiency (ECE) of DUV or EUV radiation into visible radiation is obtained from measurements with and without a phosphor sample in front of the AXUV-100 diode detector. Integration in time of the luminescence decay yields a number proportional to the number of photons emitted by the sample. Determining the energy conversion efficiency requires additional assumptions to be made; (1) 65% of DUV and 100% of EUV radiation is absorbed, (2) luminescent light is internally emitted isotropically and must be coupled out of the disc sample, (3) the diode surface reflects 50% of luminescent light, (4) photodiode sensitivity is roughly equal for EUV and visible light irradiation. In Table 6.2 an overview of the ECE is presented. The DUV ECE is expressed in units of single crystal YAG:Ce ECE because of the lack of a calibrated DUV attenuation. Figure 6.11: Relative energy conversion efficiency (normalized to max) into visible light after DUV excitation plotted against sample thickness for different annealing temperatures (see legend). Sample thickness denotes the CaS:Ce phosphor layer thickness deposited on the quartz substrate. Note the rather large jumps by the samples annealed at 1000 C In Figs and 6.12 the DUV and EUV induced luminescence yield are plotted against the sample thickness for different annealing temperature, respectively. Note from both graphs the competition between the 150 nm sample and the 500 nm sample annealed at 1100 C. Under EUV excitation the 500 nm sample yields the highest amount of luminescence. The integrated time-resolved luminescence decay measured at different settings of the buffer gas pressure is proportional to the number of detected photons. The measured result is shown in Fig where an exponential decay of the integrated luminescence with the buffer gas pressure is observed indicative of luminescence linearity with EUV power. Luminescence spectra In Fig the luminescence spectrum measured after excitation by DUV and EUV irradiation is depicted for the [500 nm, 1100 C] sample. Both spectra are characterized by a peak location at 509 nm and a FWHM of 50 nm, with a second peak at 562 nm,

91 80 Chapter 6 Luminescent materials for EUV Figure 6.12: Energy conversion efficiency into visible light after EUV excitation plotted against sample thickness for different annealing temperature (see legend). Sample thickness denotes the CaS:Ce phosphor layer thickness deposited on the quartz substrate. Note the similar trend with sample thickness for all three annealing temperatures of the samples. Figure 6.13: Time-integrated luminescence recorded on a [150 nm, 1100 C] CaS:Ce thin film sample excited by EUV irradiation at various pressures of the nitrogen buffer gas (solid diamonds). The luminescence decays exponentially with the buffer gas pressure (dashed line) over two orders of magnitude indicative of luminescence linearity with EUV power. explaining the light green color of luminescence as observed by eye. The similarity of spectral features combined with the comparable time scales of relaxation again indicates that the host lattice is absorbing the incoming energy quanta in both cases. Comparing

92 6.5 Experimental results 81 Figure 6.14: Luminescence spectrum measured on the [500 nm, 1100 C] sample after excitation by DUV (dashed curve) and EUV (solid curve) irradiation. Sample DUV ECE EUV ECE EUV ECE (rel) (%) (rel) YAG:Ce crystal CaS:Ce [150 nm, 900 C] CaS:Ce [150 nm, 1000 C] CaS:Ce [150 nm, 1100 C] CaS:Ce [500 nm, 900 C] CaS:Ce [500 nm, 1000 C] CaS:Ce [500 nm, 1100 C] CaS:Ce [800 nm, 900 C] CaS:Ce [800 nm, 1000 C] CaS:Ce [800 nm, 1100 C] CaS:Ce [1200 nm, 900 C] CaS:Ce [1200 nm, 1000 C] CaS:Ce [1200 nm, 1100 C] Table 6.2: Energy conversion efficiency of thin film CaS:Ce samples under DUV and EUV excitation. For convenience and clarity all numbers are normalized to the ECE of YAG:Ce. An experimental reproducibility of less than 5% is hard to obtain due to the nature of pulse-to-pulse power fluctuations of the excimer laser. spectra and spectral differences for all thin film samples reveals the absence of systematic annealing temperature and film thickness dependent spectral features and differences. Neither the layer thickness nor the annealing temperature influences the luminescence spectra and the associated decay mechanisms.

93 82 Chapter 6 Luminescent materials for EUV Sample Thickness Annealing Roughness Comment (nm) Temperature ( C) z2 (nm) No Appears flat No Strongly curved No Curved No Curved No Curved No Curved No Flat No Curved No Flat No Curved No Rough No Remnant bump Table 6.3: Results of surface roughness measurement on temperature annealed thin film CaS:Ce samples. Quality order : 9, 12, 1, 10, 7, 5, 8, 2, 3, 11, 4, and Surface roughness measurement For the final application in EUVL, the surface quality determines the imaging properties of the fluorescent materials. Modification of the fluorescent properties by annealing can also modify the sample surface. The surface quality of thin film CaS:Ce samples is investigated by means of an interference microscope. The microscope is able to resolve structures with a vertical dimension down to 5 nm on a field of mm 2. The large field combined with the resolving power yields an accurate and complete representation of the state of the surface quality. With Mathcad Software we performed a statistical analysis of the data before and after subtracting a third order polynomial fit to the data. The polynomial fit represents surface behavior on long length scales. The remaining part after subtraction represents dust particles, cracks and other surface flaws. Table 6.3 presents the results of the statistical analysis. From the results a qualitative sample order can be extracted representing samples with increasing surface quality. The order indicates the best samples to be obtained with higher film thickness and lower annealing temperature. However, samples no. 8 and no. 2 are exceptions to that rule. 6.6 Summary The phosphors presented and discussed in this paper are YAG:Ce and CaS:Ce. Both materials show an appreciable amount of visible luminescence under pulsed DUV and pulsed EUV irradiation, observed with crystalline, powder and thin film samples. Time resolved luminescence measurements reveal the fast nature of luminescence decay dynamics characterized by short exponential decay times. The observation of differences in the luminescence decay under pulsed DUV and pulsed EUV irradiation is explained in terms of different mechanisms of excitation and energy transfer. These difference are exemplified by small but relevant shifts in the luminescence spectrum accurately recorded with a special purpose wide-spectral range PC-based spectrometer. The material CaS:Ce can be (i) deposited in the form of thin films on large quartz substrates by means of e-beam evap-

94 6.7 Acknowledgements 83 oration and vacuum deposition and (ii) brought to luminescence by means of temperature annealing under a suitable gas atmosphere. Experiments with other materials reveal the incomplete transfer of atomic elements and phase separation of transferred atomic elements into unwanted crystalline structures. Furthermore most of these materials reveal the absence of luminescence even after temperature annealing. CaS:Ce is observed to be deposited as an already crystalline material layer, a prerequisite for success. Additional annealing at comfortable temperatures during short times yields samples with excellent luminescent properties. However the surface quality is affected by high temperature annealing, in terms of increasing roughness, and should therefore be avoided. For future use of and experiments with the CaS:Ce samples it is recommended to proceed with the 500 nm phosphor layer thickness annealed at 1100 C. Considering the use of phosphors for EUV detection the materials YAG:Ce and CaS:Ce are preferred by far. However, the successful implementation of a luminescent material in sensing devices requires the presence of a sufficiently high EUV power in order to yield ample visible light and warrant a satisfactory signal-to-noise ratio for diagnostics operations. 6.7 Acknowledgements We thank J. van der Werf of Philips Research Eindhoven and C. Ronda of Philips Research Aachen for stimulating discussions. We thank H. van Hal, W. Keur and K. van den Heuvel of Philips Research Eindhoven for sample preparation. We thank and F. Bijkerk and H. Fledderus of the FOM-Institute Rijnhuizen for experimental assistance with the EUV setup.

95

96 Part II Source optimization 85

97

98 Chapter 7 Characterization of a picosecond laser plasma source in the Extreme UV wavelength band 7.1 Introduction Extreme Ultra Violet lithography is considered a likely successor of the current deep-uv lithographic techniques in semiconductor industry. For this application a bright source of monochromatic light with a wavelength in the range between 10 and 20 nm is needed. An appropriate candidate is the laser plasma; a very bright point source, also in this wavelength range. Optimization of yield of a laser plasma is needed in order to use this source as efficient as possible. Much research has been done on this subject at longer pulse lengths (5 25 ns), e.g. Spitzer et al. [97] and Shevelko et al. [32]. So far, shorter pulses, usually resulting in high temperature plasmas, have not often been studied. In this work, several experiments have been carried out with the FOM Institute for Plasma Physics Rijnhuizen (Nieuwegein, The Netherlands), to provide a characterization of the RAL picosecond laser plasma source [41] in the EUV band. A calibrated diagnostic unit for detection of radiation at 13.7 nm wavelength was employed. 7.2 Material and methods The characterization of the picosecond plasmas was done at 13.7 nm, in the wavelength band that will be used in future EUVL applications. The laser was generated using the RAL picosecond laser system. A pulse train of 6 picosecond pulses of 20 mj laser light of 248 nm generated a laser plasma with temperatures of a few hundred ev. These temperatures obtained with the high electromagnetic radiation levels of the laser are sufficient to generate X-rays with energies of several tens of evs up to several kevs. A small energy band (E/ E = 25) centred at 90 ev (13.7 nm) was selected using a multilayer mirror. The mirror consisted of 40 bi-layers of Mo/Si on a spherical substrate with 32 cm radius of curvature. The plasma was imaged on a PIN diode by this multilayer mirror. The diode was covered with a filter consisting of 50 nm Si 3 N 4 and 100 nm Nb. This filter suppresses visible/uv by a factor of All elements (mirror, filter and detector) were 87

99 88 Chapter 7 Optimization of picosecond sources absolutely calibrated for the wavelength under observation at the PTB beam line at Bessy (Berlin) and the Institute for Physics of Microstructures (Nizhny Novgorod). For a few experiments, also a streak camera was used to investigate the time structure of the plasma at the wavelength of 13.7 nm. The scheme used was analogous to the absolute calibration measurements, with the cathode of the streak camera replacing the PIN diode. This way, a time resolution of a few tens of picoseconds could be obtained. The power density on target was varied using different methods of illumination: Beam attenuation by inserting different quartz plates, the laser beam was attenuated over more than an order of magnitude while keeping the spot size constant. A second method to attenuate consisted of positioning a diaphragm in the beam, which changed somewhat the focal spot size. Pulse duration Changing the oscillator cavity, a range in pulse duration s between 3 and 21 ps per pulse could be obtained. Since the energy per pulse did not change significantly over this range, almost an order of magnitude in pulse duration could be investigated. Different lenses and lens defocusing Changing the spot size was achieved in two ways: By varying the focal distance of the lens and by defocusing the lens. It is noted that the error in the power density is somewhat larger due to imperfectness of the lens and a nonlinear shape of the beam waste. Moreover, two other parameters of importance to the CE have been studied: Pulse to pulse separation Previous measurements [27] have shown, that a pre-pulse can influence the conversion efficiency of the main pulse. In a pulse train this situation may occur for every pulse, except the first. The influence of pulse-to-pulse separation has been investigated for discrete values of 1.8, 3.6 and 7.2 ns. Target material Different target materials have different electron configuration and therefore different excitation and emission energies, giving different levels of radiation at the investigated wavelength. For all these cases, the absolute conversion efficiency (CE) was determined. Also the time structure was investigated using the streak camera. The target material was Cu tape, unless indicated otherwise. 7.3 Results Figure 7.1 shows the dependence of the conversion efficiency on the power density as varied by attenuation of the beam for each of the three focal lengths used. All curves show a similar behaviour: The conversion efficiency increases with the power density, but above W/cm 2 the curves seem to top off. This could be an indication of a local optimum power density, but verification required an energy in the laser pulse beyond that of the current experimental conditions. The range of power densities of the 9 and 18 cm lens overlap, indicating that both lenses gave an approximately equal focal spot size. The range for the 50 cm lens is somewhat smaller due to high noise levels at the lower end of

100 7.3 Results 89 Figure 7.1: Dependence of the CE on power density for various lenses and beam attenuation schemes the power density range. As all lenses follow the general curve, the conversion efficiency seems to be only dependent on the power density. Larger spots with higher energy result in similar CE values as smaller spots with low energy. Figure 7.2: Dependence of CE on power density for different pulse duration s (annotated) Using shorter pulses a higher power density can be investigated, up to W/cm 2 (Figure 7.2). The plot shows an initial increase of the conversion efficiency with increasing power density, followed by a drop of the CE for even higher power densities. Combining Fig. 7.1 and Fig. 7.2, a local optimum is found at W/cm 2. The conversion efficiency varies only slightly with the pulse-to-pulse separation, as shown in figure 7.3. Due to the small number of points combined with the relatively large errors on the data, the dependence of the conversion efficiency is not yet clear. There

101 90 Chapter 7 Optimization of picosecond sources Figure 7.3: Dependence of conversion efficiency on the pulse-to-pulse separation (Horizontal line is the conversion efficiency of a single pulse) seems to be a slight increase in CE for longer pulse separations. Figure 7.4: Dependence of the conversion efficiency on material and power density. The lines are drawn to guide the eye. The material dependence is shown in figure 7.4 materials for three different lenses could be measured and a clear dependence of the conversion efficiency on the material and power density can be seen. For figure 7.4 only comparable conditions are used, explaining the lower maximum value for the copper target. From the materials investigated, gold shows the highest conversion efficiency. These results should be compared with results found in Shevelko et al. [32], where longer pulses with lower power densities were used. They observed increased emission where a K, L or M shell transition was close to the wavelength under investigation.

102 7.4 Discussion Discussion From the different schemes of scanning the power density on the target (attenuation, duration, lens focal distance and lens defocusing) coherent data could be obtained about the behaviour of the conversion efficiency on the power density. Combining figure 7.1 and 7.2 shows an optimum power density of W/cm 2 for copper targets. The conversion efficiency obtained at this value is 0.22%/% BW. A certain trend can be seen in the conversion efficiency versus pulse-to-pulse separation plot (Figure 7.3). For somewhat longer separation, the conversion efficiency seems to increase. Even at separations of more than 7 ns, some influence of the pre-pulse is visible (At infinite separation the CE should drop to the level of a single pulse). The target conditions in the second and subsequent pulses are thought to be beneficial for the plasma generation process, leading to an enhanced emission using a pre-pulse. For different materials the conversion efficiency follows the general trend already found in previous research at lower power density [32], although at lower efficiencies then for the pulses at longer pulse durations. Gold has the highest conversion efficiency (0.22%/% BW at W/cm 2 ) under similar conditions, but the lack of variation of materials in this investigation leaves room for further material optimization at these power densities (like Ge and Re for W/cm 2, see figure 7.4). 7.5 Acknowledgements We gratefully thank N. Takeyasu, S. Huntingdon and the supporting staff of RAL for their assistance in operating the laser and the building of the set-up. E. Louis and N.N. Salashchenko are acknowledged for the supply of the multilayer EUV mirrors and A.G. Michette and S.J. Pfauntsch for sharing their beam time at RAL with us. This work was financially supported by the LSF at RAL, the EC and FOM (the Foundation for Fundamental Research on Matter), STW (the Netherlands Technology Foundation) and INCO-Copernicus.

103

104 Chapter 8 Spectral and spatial characteristics of XUV radiation due to a Laser-Plasma Wall Interaction 8.1 Abstract Laser produced plasmas were created on solid CF 2 and Al targets by a KrF excimer laser (248 nm/1 J/25 ns). The spectral and spatial structure of XUV radiation from the interaction of these plasmas with Ta surfaces was studied in detail. The Ta wall was positioned in the path of the plasma expansion at various distances between 0.5 and 3.5 mm. Spatially resolved XUV spectra of the medium charged ions were studied using a compact grazing incidence spectrometer. A back-illuminated CCD camera was used as a detector. The electron temperature on the targets was approximately ev. Intense radiation near the wall was observed, caused by an increase in electron density and temperature in the shock wave in the stagnation zone formed in front of the wall. The dependence of the emission of the target-wall distance was different for F and Al. The emission for F was dominated by excitation due to the increase in temperature and density. A similar behaviour to that of F was observed for Al V - Al VII, but for Al IV the emission was dominated by recombination: in front of the shockwave at the wall, the intensity for Al IV lines decreased, while at the shockwave only a limited increase in the intensity was observed. 8.2 Introduction In almost all experiments where a plasma is created, this plasma will interact with the walls of the surrounding system. The interaction of the plasma with the wall gives rise to two linked processes. In most applications, the influence of the plasma wall interaction on the bulk plasma is noted mainly due to the sputtering of materials from the wall, which leads to impurity injection into the plasma volume. The influence of these impurities ranges from enhanced plasma emission and consecutive cooling of the plasma [98, 99] to changed plasma deposition conditions [100]. Simultaneously, the interaction of a plasma with a wall gives rise to modification of the plasma parameters. These modifications 93

105 94 Chapter 8 Laser-Plasma Wall Interaction range from a sudden increase in electron temperature and density to rapid cooling and recombination and are used as a source of intense x-ray radiation [101, 102, 103] and for enhanced population inversion in x-ray lasing experiments [104, 105, 106, 107, 108, 109, 110, 111, 112]. A controlled method for generation of various plasma-wall interaction processes can be obtained by positioning a solid surface in the path of the laser-produced plasma (LPP). Laser plasma-wall interaction (LPWI) has been observed for the first time by Presnyakov et al. [101] and was further investigated by Bioko and others [105, 106, 107, 102, 103, 113]. In general, these investigations were performed at high temperatures (>200 ev) and ionization stages >10+, while for a number of applications especially lower temperatures and ionization stages are of interest. One example is in plasma sources, currently being developed for Extreme UV Lithography, where mechanical components of the source are placed close to the hot plasma core, with a temperature of ev. Both in electrical discharge sources [86, 68], where the walls of the discharge volume are located close to the plasma, as well as in LPPs [51], where the nozzle elements are close to the plasma, the interaction of the plasma with these components leads to erosion and contamination of the source environment. With the strict requirements set by EUVL on the component and optics lifetime of more than pulses, source contamination needs to be minimized. A second application is plasma-wall interaction in divertors, designated regions in a fusion device, where the hot plasma can interact with the wall. At a plasma temperature in the near-wall region of <15 ev [98], processes similar to processes seen in LPWI [114, 115, 116] take place. Ablation processes causing an inflow of material into the bulk plasma [117, 118] and changes in plasma structure and dynamics caused by the wall [99] can be studied with LPWI under carefully chosen laser- and target conditions. Shevelko et al. [113] investigated the spatial structure of the plasma wall interaction using a Nd:YAG laser (532 nm, 0.2 J, 3 ns). A LPP was generated with a temperature up to 195 ev and high ionization stages (Z 10), mainly emitting in the soft x-ray region. The plasma-wall interaction in the divertor of a tokamak involves a plasma with a temperature up to 15 ev, where the average ionization is lower. Radiation from such a plasma is mainly emitted in the XUV, (V)UV and visible light, while also sources for EUVL are being optimized for the XUV region. In this paper we studied the plasma conditions in LPWI and the dynamics of the plasma expansion. 8.3 Experimental The setup used for the LPWI generation is shown in Fig An LPP was generated on a solid material by focusing a 25 ns, 248 nm (KrF) excimer laser pulse of 1 J energy [14]. The laser beam was incident at 45 with respect to the target surface resulting in an oval focal spot of µm 2. The power density on the target was W/cm 2. Under these illumination conditions, a temperature of up to 60 ev could be reached [32]. A wall was placed at a variable distance r to the LPP. In order to prevent part of the laser light which was reflected from the surface to interact with the wall, the width of the wall was reduced to 0.5 mm. The limited width of the wall caused the wall emission intensity to reduce with r 2, with r the distance between the wall and the plasma, due

106 8.3 Experimental 95 Figure 8.1: Schematic of the laser plasma-wall interaction. to the reduced solid angle of the expanding plasma. The target materials were CF 2 and Al, selected in order to study the distinct line spectrum of these light elements. The wall material was Ta, chosen for its small amount of ablation. Figure 8.2: Setup of the grazing incidence spectrograph in off-rowland geometry, with additional imaging slit. The experimental setup is shown in Fig The XUV spectra were investigated using a grazing incidence spectrometer, containing a spherical grating (1 m radius of curvature) in off-rowland geometry [32]. The grating allowed for imaging the entrance slit, both for the 0 th order, as well as for the 1 st order diffraction, though with curved focal plane. The CCD camera was positioned perpendicular to the optical axis of the system in the focal plane of a pre-selected wavelength, with other wavelengths a slightly defocused. An additional aperture slit was positioned to reduce the off-axis line broadening. By placing a slit in the spectrograph, pinhole imaging was done in the direction perpendicular to the diffraction direction. The spectral and spatial directions were carefully aligned such that the spectrum of the plasma was obtained as a function of the distance to the target. A 600 l/mm Au coated grating was used in the spectrometer. The wavelength range of registration was λ = 6 20 nm. The entrance slit (20 µm width) was positioned at 1.57 cm from the plasma. The additional aperture slit (250 µm width) was positioned at 3.83 cm from entrance slit. With this combination of slits, a width of 100 µm in

107 96 Chapter 8 Laser-Plasma Wall Interaction the plasma-wall region was integrated. Over the spectral range investigated, the spectral resolving power varied from λ = 90 at the long wavelength range of the spectrum, limited δλ by off-rowland positioning of the CCD camera, to λ = 100 at the short wavelength side, δλ limited by the resolution of the CCD camera. The imaging slit was 20 µm, with a plasmaslit distance of 6.1 cm and a slit-ccd distance of 16.2 cm. We obtained a magnification of 2.7. From measurements of the wall position of different images with known target-wall distance, a magnification of 2.66 was found, which coincides with the above measurement. The spatial resolution of the spectrographic system was determined by diffraction and geometry [119]. The minimum spot size given by the geometrical properties is given by ( X geometrical = ) d, (8.1) M with M the magnification and d the pinhole size. Similarly, the diffraction at the slit is given by X diffraction = 2.4λD, (8.2) d with λ the wavelength of interest and D the distance between slit and camera. In our experimental conditions, the geometrical resolution is 30 µm and the resolution given by diffraction ranged from 32 µm at λ = 20 nm to 106 µm at λ = 6 nm. The total resolution, given by X diffraction = Xgeometrical 2 + Xdiffraction 2, ranged from 43 to 110 µm in the wavelength range from 20 to 6 nm. The images were recorded on a back-illuminated CCD camera with pixels of 25 µm square. In the range of wavelengths investigated, the sensitivity of the CCD camera was estimated to be 20 to 50%, based on comparison with a calibrated photodiode. 8.4 Results Line identification Fig. 8.3 and Fig. 8.4 show the different spectra as a function of the target-wall distance for CF 2 and Al respectively. The dominant lines for CF 2 in the target region were assigned to F VII (ionization potential of ev)) and F VI (157.2 ev). These lines were again visible in the wall spectrum at smaller target-wall distances, below 2000 µm. At larger distances the lines of F V (114.2 ev), clearly visible at 16.5 nm, became dominant. No lines of C were observed in the spectra recorded from CF 2. From the ionization stages observed, an estimate was made of the electron temperature in the plasma. According to the coronal equilibrium model [120], the stages F VII and F VI corresponded to a temperature in the LPP of ev. Mainly lines of Al VI (190.5 ev) and Al V (153.8 ev) were observed in the Al target spectrum, as well as a few strong lines of Al VII (241.8 ev). With these lines present in the spectrum, the coronal equilibrium model [120, 121] gave an estimated temperature of ev. This is in agreement with measurements reported by Shevelko et al. [32], taken with the same laser system, though at a slightly higher power density on target. At a target-wall distance of 1000 µm, a similar spectrum was observed in the near-wall plasma as in the target area. For larger target-wall distances the lines of Al IV (120.0 ev) became more prominent. It is noted that beyond 14 nm, line-ratio analysis required careful subtraction of the 2 nd order background, which was especially clear for Al in Fig. 8.4.

108 8.4 Results 97 Figure 8.3: The CF 2 spectrum from an area close to the target and the CF 2 spectrum near the wall for the target-wall distances of 1, 1.5, 2, 2.5 and 3 mm. Indicated within brackets is the magnification of the different spectra CF 2 Fig. 8.5 shows the integrated intensity of F emission lines for various target-wall distances, subdivided in the integrated intensity from high (F VII and F VI) and low (F V) ionization

109 98 Chapter 8 Laser-Plasma Wall Interaction Figure 8.4: The Al spectrum at the location of the target and the Al spectrum near the wall for the target-wall distances of 1, 2.2, 2.7 and 3.5 mm. Indicated within brackets is the magnification of the different spectra. stages. The intensity curves are considered to be composed of the integrated emission from the unperturbed plasma, and the influence of the wall area. The wall positions for the

110 8.4 Results 99 Figure 8.5: The integrated intensity as a function of distance in two wavelength bands for the expanding F plasma. Plotted are the integrated intensity in the 6-15 nm region, representative for F VII and F VI and the integrated intensity in the nm region, representative for F V. Also indicated are best fits through the unperturbed parts of the plasma expansions (curves a and c) and the peaks of emission in the near-wall zone (curves b and d). different experiments are indicated by the vertical markings. The unperturbed plasma intensity showed an r 3 dependence for the higher ionization stages (curve a) and a r 2.4 for the low ionization stage (curve c). When correction for the integration, performed along the line of sight, the radiation density had distance dependences of r 4 and r 3.4 respectively, assuming an optically thin plasma. This assumption is valid at distances > 150 µm, where the density has dropped sufficiently. The decay rate r 4 is consistent with adiabatic expansion of the plasma [122, 123]. The lower states are less sensitive due to the recombination of the higher ionization states to the lower. Shockwave formation in the near-wall region due to plasma stagnation caused an increased density and temperature [124], which was concluded from the enhanced emission of the plasma. The structure of a shockwave, as depicted in Fig. 8.6, caused a strong jump in density and temperature. Due to the high electron-heat conductivity in the plasma, any gradient in the temperature is smoothed out over a larger volume. This can be observed as an increase in temperature, even further away from the wall, in front of the shock wave. The decay in the near-wall region was similar for higher ionization stages (F V - F VII) as for the lower ionization stage (F IV). The near wall intensity shows a decay of the form r 2 e r/r 0 for both the high (curve b) as well as the low (curve d) ionization stages. The value of r 0 was 850 µm for the high ionization stages and 1400 µm for the low ionization stages. The decay distance can be translated to an decay time by using the expansion velocity of the plasma. According to Fabbro et al. [125], the expansion velocity, v exp, is given by γc 0, with c 0 the sound velocity given by c 0 = ZT e /m i and γ the coefficient for adiabatic expansion. The calculated expansion velocity, taking the measured temperature and ionization stage according to 8.5, was cm/s. The above distances can be translated to decay times of 14 ns and 23 ns for the high and low ionization stages respectively. A detailed comparison of the line ratios between F VII, F VI and F V (Fig. 8.7) showed

111 100 Chapter 8 Laser-Plasma Wall Interaction Figure 8.6: The structure of a shockwave, located at Z 0. Plotted are both the electron temperature (T e ) and electron density (n e ). Figure 8.7: Line ratios between the strong resonance transitions in F VII (2p-3d at 12.8 nm), F VI (2p 2-2p3d at 14.8 nm and 2s2p-2s3d at 14.1 and 15.8 nm) and F V (2s 2 2p-2s 2 3d at 16.9 nm and 2s 2 2p-2s 2 3d at 18.2 nm). The symbols at distance 0 indicate the line ratios of the target plasma. that while the ratio between F VII and F VI was much less dependent of the target wall distance than the line ratio between these stages and F V. A decrease of 9 18 of the higher ionization stages with respect to F V was observed. The temperature in the near wall region is sufficiently high to re-excite even the F VII and F VI ions. The excitation rate, and therefore the emission according to Zeldovich [126] should be proportional to e E/kT, with E the excitation energy of the emission line. For the higher states, at 190 and 157 ev, the excitation rate is significantly more sensitive to the temperature than for the lower state, at 114 ev Al The integrated intensity for Al, as a function of distance in two selected wavelength bands is shown in Fig For the high ionization stages of Al V - Al VII, the integrated intensity of the unperturbed plasma (curve a) decayed with r 2.7, corresponding to a r 3.7 decay in intensity when corrected for the integration over the line of sight. The intensity in the near wall region of the Al V - Al VII lines (top curves) showed a strong decrease in intensity. Curve b shows an intensity decay of the form r 2 e r/r 0, with r µm.

112 8.4 Results 101 Figure 8.8: Integrated intensity as a function of distance for the plasma near the target and plasma near the wall for Al, divided into two wavelength bands: the top curves correspond to the 6 11 nm band associated with Al V up to Al VII, while the lower curves, indicating the nm band, are associated with Al IV. Also indicated are best fits through the unperturbed part of the plasma expansions (curve a) and the peaks of emission in the near-wall zone (curves b). With an expansion velocity for Al of cm/s, this corresponded to a decay time of 15 ns. A essentially different behaviour in the distance dependence of the emission was seen for Al IV. After an initial decrease in the target region, the intensity of the unperturbed plasma became independent of the distance and then increased beyond 1000 µm. This distance corresponds to the distance the expanding Al plasma travelled during the laser pulse duration. Taking into account the correction for the integration over the line of sight, the radiation density decreased only slowly as a function of distance and the intensity integrated over a full expanding shell increases as a function of distance, even though the total density decreased with r 2. The emission displays two regimes during the expansion, given by two processes taking place in the plasma: At high temperatures excitation, proportional to e E/kT, is the dominant process. At lower temperatures only recombination, which has a strong negative temperature dependence ( n e Te 9/2 ), can be observed. For a strong decrease in temperature, the loss in radiation due to the reduced density can be compensated by an increase due to the strong temperature dependence of the recombination rate. The difference in decay rate between the higher stages of Al and Al IV is further enhanced due to the absence of a decay channel for Al IV: the ionization energy of Al III, 28.4 ev, is much lower than for Al IV. At the temperatures existing in the plasma the ionization balance will be shifted to Al IV. Only at a target-wall distance of 1000 µm, an increase in the wall intensity with respect to the unperturbed plasma intensity was observed. At larger target-wall distances, initially a decrease with respect to the unperturbed plasma was observed. This decrease was identified by Shevelko et al. [113] as the foot of conductivity, again given by (three body) recombination: some distance in front of the wall the temperature increased (Fig. 8.6), leading to a decrease in recombination rate. At the shockwave boundary the density increase caused enhanced recombination, leading to an increase in emission by the plasma.

113 102 Chapter 8 Laser-Plasma Wall Interaction Figure 8.9: Line ratio between the strong resonance transition in Al VI (2s 2 2p 4-2s 2 2p 3 3s at 10.1 nm), Al V (2s 2 2p 5-2s 2 2p 4 3s at 13.1 nm) and Al IV (2s 2 2p 6-2s 2 2p 5 3s at 16.0 nm). The symbols at distance 0 indicate the line ratios of the target plasma. Fig. 8.9 shows the intensity ratios between the strong 2p-3s transitions in Al VI, Al V and Al IV. All three line ratios (VI/V, VI/IV and V/IV) showed a strong decrease as a function of the distance, which indicated a strong decrease in temperature for larger distances. At larger distances the emission in the lines of the higher ionization stages decreased. At a distance of 2000 µm the increase in density in the shock wave was sufficient to enhance the emission of the Al VI and Al V lines, but beyond 2000 µm the decrease in recombination rate, caused by the increased temperature in the shockwave, could not be compensated by the increase in density. 8.5 Conclusion For the first time, the spectral and spatial structure in a Laser Plasma-Wall Interaction (LPWI) were studied in the XUV wavelength region. The laser produced plasmas (LPPs), created on massive solid CF 2 and Al targets by a KrF excimer laser, reached an electron temperature of about ev, as determined by the distribution of ionization stages. Intense radiation was observed in the near-wall region. This was attributed to a jump in electron density and temperature caused by a shockwave which was created by the stagnation of the expanding plasma in front of the wall. For all observed ionization stages in F (F V, VI and VII) and the higher ionization stages in Al (Al V, VI and VII), the emission of the unperturbed plasma showed a decrease in intensity according to r , consistent with adiabatic expansion of the plasma. The peak intensity in the near-wall region was given by r 2 e r/( µm). For the lowest observed ionization stage of Al, Al IV, the emission in the unperturbed plasma was approximately independent of the distance to the target. A clear decrease in intensity was observed in the area in front of the shockwave, with an increase at the high density part of the shockwave in the near-wall region. This phenomenon was attributed to the recombination-dominated behaviour of Al IV.

114 8.6 Acknowledgements Acknowledgements This research was part of the programme of FOM, the Dutch organization for Fundamental Research on Matter, and was sponsored by STW (Technology Foundation) in The Netherlands. The author gratefully acknowledges the discussions with dr. A.P. Shevelko and dr. K. Koshelev.

115

116 Part III Applications 105

117

118 Chapter 9 Low-Energy XRF Micro-Distribution-Analysis 9.1 Abstract In this paper a new technique is presented for Low Energy X-Ray Fluorescence Micro- Distribution-Analysis (LE-XRF-MDA) of low-z elements at micrometer spatial resolutions. The technique is based on the use of a laser plasma X-ray source and spherically curved multilayer optics. A large collimator is used to focus the light from the laser plasma on the sample and a Schwarzschild mirror set is used to image the fluorescent radiation on a 2D CCD unit. A first system, now under development, is designed for detection of the Carbon K α -line. The system consists of a Cr/Sc collimator of 260 mm diameter, focussing 0.7 sr of the light from the plasma on the sample, and a Fe/C Schwarzschild mirror set with a 20 magnification for detection of the Carbon in the sample. A resolution in the micrometer range is expected to be achievable, with a detection limit of a few percent. Upgrading of this system is expected to result in sub-micron resolution and a detection limit in the ppm range. 9.2 Introduction Many non-destructive techniques exist for surface analysis of the composition of materials. Among these are EPMA (Electron Probe Micro-Analysis), PIXE (Proton Induced X-ray Emission) and XRF (X-ray Fluorescence analysis). However, most of these techniques are primarily appropriate for the detection of fluorescence lines at energies of several kev s, thereby addressing the medium to high-z elements. Although high resolution, down to the micrometer range, has been obtained by scanning XRF systems using a well-focused (pencil) beam of synchrotron radiation, this method involves a centralized, large-scale measurement facility. Recent advances in the development of laser plasma x-ray sources and multilayer optics enable a different way of XRF analysis suitable for the detection of sub-kev fluorescence lines of low-z elements. The principle of the technique consists of excitation of an element with a photon energy a few ev higher than the photon energy of the fluorescence line, i.e. just above the corresponding absorption edge, where cross-section for absorption is 107

119 108 Chapter 9 Low Energy-XRF-MDA Material Energy of Conversion Penetration Relative Reflectivity Relative fluorescence efficiency depth b fluorescence of 3 intensity on line plasma a (nm) yield mirrors detector (ev) (J x/j laser sr ev shot) (C=1) (%) (C=1) Be B C N O a At W cm 2, data obtained by L.A. Shmaenok (unpublished). b On pure gold at normal incidence (calculated). Table 9.1: Experimental data on a number of low-z K α fluorescence lines under comparable conditions highest. Although the fluorescence yields are generally lower for the lower fluorescence energies, as compared to the fluorescence yield for lines at higher energies, the crosssections for soft x-ray photon excitation are much larger. For carbon for example, the cross-section for photo-absorption is 10 4 higher at 300 ev as compared to 8 kev. By using normal incidence multilayer optics, high throughput can be obtained while at the same time high magnifications (typically ) can be achieved at a reasonable resolution. The use of a back-illuminated CCD detector gives both imaging over a large field on the sample at a high resolution and high sensitivity at short exposure times. The lines of interest for this technique are predominantly the K-shell fluorescence lines of the lighter elements (Li...Mg) and the L-shell fluorescence of the somewhat heavier elements (Al...Ga). LE-XRF is generally a surface sensitive technique, probing only the top layer of a material. In the range from Be up to O, the penetration depth varies between 50 and 250 nm (table 9.1). A number of practical applications require knowledge on the spatial distribution of low- Z elements. This is the case in semiconductor industry, where most of the elements used are within the low Z regime (Z < 16). For example migration of a doping material through the substrate material, as well as the distribution of polluting elements [7] drastically influences the properties of the electronic device. Another application is the study of catalysts for usage in oil refineries. During the refining process, certain parts of the catalyst are polluted by carbon deposition, rendering the catalyst useless. Understanding of the position of carbon deposition will enable the construction of improved catalysts. Other applications of MDA of the low Z-elements are the investigation of structures of boron on silicon surfaces [8], and studies on BeF 2 in glasses for ultra-low-loss fibre optics [127]). Partly stimulated by other practical applications of laser plasma sources, like EUV Lithography, the average laser power of application-specific lasers has increased several orders of magnitude, up to the kilowatt level [128]. In some large-scale research facilities, peak power densities up to W/cm 2 (e.g. Titania, CLF, RAL) have been reached, resulting in the generation of x-rays with energies up to several MeV. Laser plasma x- ray sources are now becoming easier to maintain and have increased efficiency of the conversion of laser photons into soft x-rays, while the inherent pollution by the plasma is being reduced to levels acceptable even for demanding applications. With these advances, laser plasma sources with high average (soft) x-ray power have come within the reach of small laboratories, both in price and size. Also the development of optics for the soft x-ray region has been boosted, e.g. by EUVL research and x-ray microscopy. Multilayer mirrors can now be made to reflect up to 67%-68% [129, 130] near the Si-L II III line, while reflectivities of more than 10% at the C-K α line have been obtained [131], all for normal

120 9.3 Experimental technique 109 incidence optics. A combination of these technologies enables the design of an LE-XRF system with a sensitivity comparable to other elemental analysis techniques, with the use of a laser plasma source keeping the set-up compact and relatively low-cost. In the pilot experiment described in this paper the imaging of the fluorescence of carbon surface structures of micrometer resolution has been set as a goal. The excitation of carbon is done at 292 ev, just above the K-shell absorption edge (284 ev), after which an x-ray microscope, sensitive for the C-K α fluorescence line (277 ev) images the distribution of emission across the sample surface. A monitor mirror next (274 ev) to the fluorescence line is used for background substraction (Figure 9.1). From the distribution of intensities, the distribution of the carbon concentration across the surface can be calculated. Figure 9.1: Three simulated mirror reflectivity curves for the detection of the C-K α fluorescence line (277 ev). From left to right: monitor mirror (274 ev), analyser mirror (277 ev) and collimator mirror (292 ev). Dotted: photo absorption cross-section of C, neglecting near edge fine structure. 9.3 Experimental technique The experimental set-up can be divided in two parts (Figure 9.2). The first part is intended for high intensity illumination of the sample by focusing radiation of a laser plasma x-ray source with a curved multilayer mirror collimator. The second part serves to detect the fluorescent radiation emitted from the illuminated area, with spatial resolution. It is noted that other geometries with larger spatial separation of the two parts are possible, enabling further suppression of stray light and particle contamination from the laser plasma source.

121 110 Chapter 9 Low Energy-XRF-MDA Figure 9.2: Experimental layout, consisting of an illumination (laser plasma, foil trap and collimator) and a detection section (Schwarzschild set and CCD camera) Illumination system The laser plasma source is generated by a KrF excimer laser (LPX-350, 248 nm, 1 J, 25 ns, 50 Hz) also used in experiments on EUV lithography near 13.5 nm [40]. The laser beam is focused into a 30 µm spot on a tantalum disk, providing a power density of up to W/cm 2. These conditions are known to result in a plasma with a temperature of 100 ev and an intense quasi-continuous emission spectrum reaching up to several hundred electronvolts [32]. The source is designed to maximally reduce contamination of the optics and sample by target material ablated by the laser pulse, generally consisting of atoms, clusters and larger fragments ( debris ). The techniques used for suppression of migration of all debris components include: 1. Fast rotation of the target disk to redirect the relatively slow and predominantly larger fragments, by giving a directed initial velocity to these particles [70]. In our LE-XRF system a target disk with a diameter of 5 cm has been used with a velocity of 600 rotations per second, i.e., an edge velocity close to 100 m/s. By optimizing the disk orientation, a spatial angle can thus be created free of the larger, slower debris fragments. 2. foil trap - an assembly of foils positioned near the source along radial directions in a buffer gas (figure 9.2). This effectively eliminates atoms and small clusters of atoms [27]. The trapping effect is achieved due to collisional retardation (for atoms even thermalization) and scattering of particles in the gas with subsequent deposition of these particles on the foils. Nitrogen has been selected as a buffer gas due to its high transparency for 300 ev photons. Given the optical path length in our system ( 1 m), a pressure of several millibars can be allowed, sufficient for

Citation for published version (APA): Hoekstra, S. (2005). Atom Trap Trace Analysis of Calcium Isotopes s.n.

Citation for published version (APA): Hoekstra, S. (2005). Atom Trap Trace Analysis of Calcium Isotopes s.n. University of Groningen Atom Trap Trace Analysis of Calcium Isotopes Hoekstra, Steven IMPORTANT NOTE: You are advised to consult the publisher's version (publisher's PDF) if you wish to cite from it. Please

More information

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source 3rd International EUVL Symposium NOVEMBER 1-4, 2004 Miyazaki, Japan Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source H. Tanaka, A. Matsumoto, K. Akinaga, A. Takahashi

More information

EUV lithography and Source Technology

EUV lithography and Source Technology EUV lithography and Source Technology History and Present Akira Endo Hilase Project 22. September 2017 EXTATIC, Prague Optical wavelength and EUV (Extreme Ultraviolet) VIS 13.5nm 92eV Characteristics of

More information

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Yezheng Tao*, Mark Tillack, Kevin Sequoia, Russel Burdt, Sam Yuspeh, and Farrokh Najmabadi University of California, San Diego

More information

Coherent X-ray scattering of charge order dynamics and phase separation in titanates Shi, B.

Coherent X-ray scattering of charge order dynamics and phase separation in titanates Shi, B. UvA-DARE (Digital Academic Repository) Coherent X-ray scattering of charge order dynamics and phase separation in titanates Shi, B. Link to publication Citation for published version (APA): Shi, B. (2017).

More information

X-Rays From Laser Plasmas

X-Rays From Laser Plasmas X-Rays From Laser Plasmas Generation and Applications I. C. E. TURCU CLRC Rutherford Appleton Laboratory, UK and J. B. DANCE JOHN WILEY & SONS Chichester New York Weinheim Brisbane Singapore Toronto Contents

More information

Superfluid helium and cryogenic noble gases as stopping media for ion catchers Purushothaman, Sivaji

Superfluid helium and cryogenic noble gases as stopping media for ion catchers Purushothaman, Sivaji University of Groningen Superfluid helium and cryogenic noble gases as stopping media for ion catchers Purushothaman, Sivaji IMPORTANT NOTE: You are advised to consult the publisher's version (publisher's

More information

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION Principles and Applications DAVID ATTWOOD UNIVERSITY OF CALIFORNIA, BERKELEY AND LAWRENCE BERKELEY NATIONAL LABORATORY CAMBRIDGE UNIVERSITY PRESS Contents

More information

Measurements of electric-field strengths in ionization fronts during breakdown Wagenaars, E.; Bowden, M.D.; Kroesen, G.M.W.

Measurements of electric-field strengths in ionization fronts during breakdown Wagenaars, E.; Bowden, M.D.; Kroesen, G.M.W. Measurements of electric-field strengths in ionization fronts during breakdown Wagenaars, E.; Bowden, M.D.; Kroesen, G.M.W. Published in: Physical Review Letters DOI: 10.1103/PhysRevLett.98.075002 Published:

More information

Evaluation at the intermediate focus for EUV Light Source

Evaluation at the intermediate focus for EUV Light Source Evaluation at the intermediate focus for EUV Light Source Takashi Suganuma, Georg Soumagne, Masato Moriya, Tamotsu Abe, Akira Sumitani, Akira Endo Extreme Ultraviolet Lithography System Development Association

More information

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source 1 Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source D. Nakamura, T. Akiyama, K. Tamaru, A. Takahashi* and T. Okada Graduate School of Information Science and Electrical Engineering,

More information

Dual photo- and redox- active molecular switches for smart surfaces Ivashenko, Oleksii

Dual photo- and redox- active molecular switches for smart surfaces Ivashenko, Oleksii University of Groningen Dual photo- and redox- active molecular switches for smart surfaces Ivashenko, Oleksii IMPORTANT NOTE: You are advised to consult the publisher's version (publisher's PDF) if you

More information

EUV-Technology with Discharge EUV-Lamp"

EUV-Technology with Discharge EUV-Lamp EUV-Technology with Discharge EUV-Lamp" Rainer Lebert, Larissa Juschkin, Christian Wies, Bernhard Jägle, Manfred Meisen, Ulrich Bieberle, Willi Neff, Juri Barthel, Konstantin Walter, Klaus Bergmann, Fraunhofer

More information

Polydiagnostic study on a surfatron plasma at atmospheric pressure

Polydiagnostic study on a surfatron plasma at atmospheric pressure Polydiagnostic study on a surfatron plasma at atmospheric pressure Citation for published version (APA): Palomares, J. M., Iordanova, E. I., Gamero, A., Sola, A., & Mullen, van der, J. J. A. M. (2009).

More information

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application EUV light source plasma Tin icrodroplet Main pulse (CO2 laser pulse) Pre-pulse (Nd:YAG laser

More information

Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources

Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources Extreme ultraviolet (EUV) light sources and their optimization for emission within a narrow wavelength band

More information

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source Eric Gullikson Lawrence Berkeley National Laboratory 1 Reflectometry and Scattering Beamline (ALS 6.3.2) Commissioned Fall 1994

More information

Citation for published version (APA): Nguyen, X. C. (2017). Different nanocrystal systems for carrier multiplication

Citation for published version (APA): Nguyen, X. C. (2017). Different nanocrystal systems for carrier multiplication UvA-DARE (Digital Academic Repository) Different nanocrystal systems for carrier multiplication Nguyen, X.C. Link to publication Citation for published version (APA): Nguyen, X. C. (2017). Different nanocrystal

More information

BEUV nanolithography: 6.7 or 11 nm?

BEUV nanolithography: 6.7 or 11 nm? BEUV nanolithography: 6.7 or 11 nm? N. I. Chkhalo, N. N. Salashchenko Institute for physics of microstructures of RAS, Nizhny Novgorod, Russia 2013 International Workshop on EUV and Soft X-Ray Dublin Ireland

More information

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS Chapter 7 EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS Hot dense plasma lasing medium d θ λ λ Visible laser pump Ch07_00VG.ai The Processes of Absorption, Spontaneous Emission, and Stimulated Emission Absorption

More information

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas I. Kambali, G. Atom O Sullivan Indonesia / Atom Vol. Indonesia 4 No. 2 (24) Vol. 47 No. - 2 (24) 7 - Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas I. Kambali * and G. O Sullivan

More information

COST MP0601 Short Wavelength Laboratory Sources

COST MP0601 Short Wavelength Laboratory Sources Background: Short wavelength radiation has been used in medicine and materials studies since immediately after the 1895 discovery of X-rays. The development of synchrotron sources over the last ~25 years

More information

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources Kazutoshi Takenoshita, Chiew-Seng Koay, Somsak Teerawattansook, & Martin Richardson Laser Plasma Laboratory,

More information

Citation for published version (APA): Adhyaksa, G. W. P. (2018). Understanding losses in halide perovskite thin films

Citation for published version (APA): Adhyaksa, G. W. P. (2018). Understanding losses in halide perovskite thin films UvA-DARE (Digital Academic Repository) Understanding losses in halide perovskite thin films Adhyaksa, G.W.P. Link to publication Citation for published version (APA): Adhyaksa, G. W. P. (2018). Understanding

More information

EE 6313 Homework Assignments

EE 6313 Homework Assignments EE 6313 Homework Assignments 1. Homework I: Chapter 1: 1.2, 1.5, 1.7, 1.10, 1.12 [Lattice constant only] (Due Sept. 1, 2009). 2. Homework II: Chapter 1, 2: 1.17, 2.1 (a, c) (k = π/a at zone edge), 2.3

More information

Physik und Anwendungen von weicher Röntgenstrahlung I (Physics and applications of soft X-rays I)

Physik und Anwendungen von weicher Röntgenstrahlung I (Physics and applications of soft X-rays I) Physik und Anwendungen von weicher Röntgenstrahlung I (Physics and applications of soft X-rays I) Sommersemester 2015 Veranstalter : Prof. Dr. Ulf Kleineberg (ulf.kleineberg@physik.uni-muenchen.de) LMU,

More information

Laser heating of noble gas droplet sprays: EUV source efficiency considerations

Laser heating of noble gas droplet sprays: EUV source efficiency considerations Laser heating of noble gas droplet sprays: EUV source efficiency considerations S.J. McNaught, J. Fan, E. Parra and H.M. Milchberg Institute for Physical Science and Technology University of Maryland College

More information

Cover Page. The handle holds various files of this Leiden University dissertation.

Cover Page. The handle   holds various files of this Leiden University dissertation. Cover Page The handle http://hdl.handle.net/1887/20139 holds various files of this Leiden University dissertation. Author: Dahlhaus, Jan Patrick Title: Random-matrix theory and stroboscopic models of topological

More information

University of Groningen. Taking topological insulators for a spin de Vries, Eric Kornelis

University of Groningen. Taking topological insulators for a spin de Vries, Eric Kornelis University of Groningen Taking topological insulators for a spin de Vries, Eric Kornelis IMPORTANT NOTE: You are advised to consult the publisher's version (publisher's PDF) if you wish to cite from it.

More information

Citation for published version (APA): Brienza, M. (2018). The life cycle of radio galaxies as seen by LOFAR [Groningen]: Rijksuniversiteit Groningen

Citation for published version (APA): Brienza, M. (2018). The life cycle of radio galaxies as seen by LOFAR [Groningen]: Rijksuniversiteit Groningen University of Groningen The life cycle of radio galaxies as seen by LOFAR Brienza, Marisa IMPORTANT NOTE: You are advised to consult the publisher's version (publisher's PDF) if you wish to cite from it.

More information

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources A. Hassanein, V. Sizyuk, S.S. Harilal, and T. Sizyuk School of Nuclear Engineering and Center

More information

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source Introduction and Outline A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source Rainer Lebert, AIXUV Target Features of High Brightness EUV Source LPP Concept to reach Specification Target

More information

Document Version Publisher s PDF, also known as Version of Record (includes final page, issue and volume numbers)

Document Version Publisher s PDF, also known as Version of Record (includes final page, issue and volume numbers) Comparison of experimental and simulated extreme ultraviolet spectra of xenon and tin discharges Kieft, E.R.; Garloff, K.; Mullen, van der, J.J.A.M.; Banine, V.Y. Published in: Physical Review E DOI: 10.1103/PhysRevE.71.036402

More information

EUV Reflectivity measurements on Acktar Sample Magic Black

EUV Reflectivity measurements on Acktar Sample Magic Black Report EUV Reflectivity measurements on Acktar Sample Magic Black S. Döring, Dr. K. Mann Laser-Laboratorium Göttingen e.v. October 28, 2011 Contents 1 Introduction 3 2 Setup 3 3 Measurements 4 4 Conclusion

More information

Answers to questions on exam in laser-based combustion diagnostics on March 10, 2006

Answers to questions on exam in laser-based combustion diagnostics on March 10, 2006 Answers to questions on exam in laser-based combustion diagnostics on March 10, 2006 1. Examples of advantages and disadvantages with laser-based combustion diagnostic techniques: + Nonintrusive + High

More information

University of Groningen. Morphological design of Discrete-Time Cellular Neural Networks Brugge, Mark Harm ter

University of Groningen. Morphological design of Discrete-Time Cellular Neural Networks Brugge, Mark Harm ter University of Groningen Morphological design of Discrete-Time Cellular Neural Networks Brugge, Mark Harm ter IMPORTANT NOTE: You are advised to consult the publisher's version (publisher's PDF) if you

More information

MS482 Materials Characterization ( 재료분석 ) Lecture Note 4: XRF

MS482 Materials Characterization ( 재료분석 ) Lecture Note 4: XRF 2016 Fall Semester MS482 Materials Characterization ( 재료분석 ) Lecture Note 4: XRF Byungha Shin Dept. of MSE, KAIST 1 Course Information Syllabus 1. Overview of various characterization techniques (1 lecture)

More information

Notes on cooperative research in the measurement of Gottwein-temperature Veenstra, P.C.

Notes on cooperative research in the measurement of Gottwein-temperature Veenstra, P.C. Notes on cooperative research in the measurement of Gottwein-temperature Veenstra, P.C. Published: 01/01/1969 Document Version Publisher s PDF, also known as Version of Record (includes final page, issue

More information

Minimum analysis time in capillary gas chromatography. Vacuum- versus atmospheric-outlet column operation Leclercq, P.A.; Cramers, C.A.M.G.

Minimum analysis time in capillary gas chromatography. Vacuum- versus atmospheric-outlet column operation Leclercq, P.A.; Cramers, C.A.M.G. Minimum analysis time in capillary gas chromatography. Vacuum- versus atmospheric-outlet column operation Leclercq, P.A.; Cramers, C.A.M.G. Published in: HRC & CC, Journal of High Resolution Chromatography

More information

Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target

Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target M. Vrbova 1, P. Vrba 2, S.V. Zakharov 3, V.S. Zakharov 4, M. Müller 5, D. Pánek 1, T. Parkman 1, P.Brůža 1 1 Czech Technical

More information

Electron-Acoustic Wave in a Plasma

Electron-Acoustic Wave in a Plasma Electron-Acoustic Wave in a Plasma 0 (uniform ion distribution) For small fluctuations, n ~ e /n 0

More information

Optimization of laser-produced plasma light sources for EUV lithography

Optimization of laser-produced plasma light sources for EUV lithography page 1 of 17 Optimization of laser-produced plasma light sources for EUV lithography M. S. Tillack and Y. Tao 1 University of California, San Diego Center for Energy Research 1 Currently at Cymer Inc.

More information

Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008

Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008 Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008 Richard London rlondon@llnl.gov Workshop on Interaction of Free Electron Laser Radiation with Matter Hamburg This work

More information

Citation for published version (APA): Weber, B. A. (2017). Sliding friction: From microscopic contacts to Amontons law

Citation for published version (APA): Weber, B. A. (2017). Sliding friction: From microscopic contacts to Amontons law UvA-DARE (Digital Academic Repository) Sliding friction Weber, B.A. Link to publication Citation for published version (APA): Weber, B. A. (2017). Sliding friction: From microscopic contacts to Amontons

More information

LASERS. Dr D. Arun Kumar Assistant Professor Department of Physical Sciences Bannari Amman Institute of Technology Sathyamangalam

LASERS. Dr D. Arun Kumar Assistant Professor Department of Physical Sciences Bannari Amman Institute of Technology Sathyamangalam LASERS Dr D. Arun Kumar Assistant Professor Department of Physical Sciences Bannari Amman Institute of Technology Sathyamangalam General Objective To understand the principle, characteristics and types

More information

Chapter 4 Scintillation Detectors

Chapter 4 Scintillation Detectors Med Phys 4RA3, 4RB3/6R03 Radioisotopes and Radiation Methodology 4-1 4.1. Basic principle of the scintillator Chapter 4 Scintillation Detectors Scintillator Light sensor Ionizing radiation Light (visible,

More information

Single Photon detectors

Single Photon detectors Single Photon detectors Outline Motivation for single photon detection Semiconductor; general knowledge and important background Photon detectors: internal and external photoeffect Properties of semiconductor

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION doi:10.1038/nature10721 Experimental Methods The experiment was performed at the AMO scientific instrument 31 at the LCLS XFEL at the SLAC National Accelerator Laboratory. The nominal electron bunch charge

More information

Influence of the shape of surgical lights on the disturbance of the airflow Zoon, W.A.C.; van der Heijden, M.G.M.; Hensen, J.L.M.; Loomans, M.G.L.C.

Influence of the shape of surgical lights on the disturbance of the airflow Zoon, W.A.C.; van der Heijden, M.G.M.; Hensen, J.L.M.; Loomans, M.G.L.C. Influence of the shape of surgical lights on the disturbance of the airflow Zoon, W.A.C.; van der Heijden, M.G.M.; Hensen, J.L.M.; Loomans, M.G.L.C. Published in: Proceedings of the 11th International

More information

Mean-field Description of the Structure and Tension of Curved Fluid Interfaces. Joris Kuipers

Mean-field Description of the Structure and Tension of Curved Fluid Interfaces. Joris Kuipers Mean-field Description of the Structure and Tension of Curved Fluid Interfaces Joris Kuipers Mean-field description of the structure and tension of curved fluid interfaces / J. Kuipers Copyright c 2009,

More information

EUV Source Developments on Laser-Produced Plasmas using Lithium New Scheme Target

EUV Source Developments on Laser-Produced Plasmas using Lithium New Scheme Target San Diego, 25.11.7-9 EUV Source Developments on Laser-Produced Plasmas using thium New Scheme Target Shuji MIYAMOTO, Sho AMANO, Takahiro INOUE Petru-Edward NICA, Atsushi SHIMOURA Kakyo KAKU, and Takayasu

More information

Dynamics of laser produced XUV emitting plasmas

Dynamics of laser produced XUV emitting plasmas Dynamics of laser produced XUV emitting plasmas Bruijn, de, C.C. DOI: 10.6100/IR577357 Published: 01/01/2004 Document Version Publisher s PDF, also known as Version of Record (includes final page, issue

More information

Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics

Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics Richard Haight IBM TJ Watson Research Center PO Box 218 Yorktown Hts., NY 10598 Collaborators Al Wagner Pete Longo Daeyoung

More information

Important processes in modeling and optimization of EUV lithography sources

Important processes in modeling and optimization of EUV lithography sources Important processes in modeling and optimization of UV lithography sources T. Sizyuk and A. Hassanein Center for Materials under xtreme nvironment, School of Nuclear ngineering Purdue University, West

More information

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like Far IR Gas Lasers 10-1500 microns wavelengths, 300 10 THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like microwave signal than light Created by Molecular vibronic

More information

Cover Page. The handle holds various files of this Leiden University dissertation.

Cover Page. The handle  holds various files of this Leiden University dissertation. Cover Page The handle http://hdl.handle.net/1887/45233 holds various files of this Leiden University dissertation. Author: Rijk, B. de Title: Periodic pulse solutions to slowly nonlinear reaction-diffusion

More information

Overview of EUV Lithography and EUV Optics Contamination

Overview of EUV Lithography and EUV Optics Contamination Accelerating the next technology revolution Overview of EUV Lithography and EUV Optics Contamination Andrea Wüest NIST Contamination WS Gaithersburg, MD June 2, 2009 Copyright 2008 SEMATECH, Inc. SEMATECH,

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Wolter Imaging On Z. Chris Bourdon, Manager Z Imaging and Spectroscopy Julia Vogel, LLNL; Ming Wu, SNL ICF Diagnostics Workshop, October 5 th 2015

Wolter Imaging On Z. Chris Bourdon, Manager Z Imaging and Spectroscopy Julia Vogel, LLNL; Ming Wu, SNL ICF Diagnostics Workshop, October 5 th 2015 Photos placed in horizontal position with even amount of white space between photos and header Wolter Imaging On Z Chris Bourdon, Manager Z Imaging and Spectroscopy Julia Vogel, LLNL; Ming Wu, SNL ICF

More information

Laser Dissociation of Protonated PAHs

Laser Dissociation of Protonated PAHs 100 Chapter 5 Laser Dissociation of Protonated PAHs 5.1 Experiments The photodissociation experiments were performed with protonated PAHs using different laser sources. The calculations from Chapter 3

More information

Energetic particles and their detection in situ (particle detectors) Part II. George Gloeckler

Energetic particles and their detection in situ (particle detectors) Part II. George Gloeckler Energetic particles and their detection in situ (particle detectors) Part II George Gloeckler University of Michigan, Ann Arbor, MI University of Maryland, College Park, MD Simple particle detectors Gas-filled

More information

EUVL Optics lifetime and contamination. European Update

EUVL Optics lifetime and contamination. European Update EUVL Optics life and contamination European Update EUVL Symposium 27 TWG Optics Contamination and Life Sapporo Bas Wolschrijn, TNO 1/12 -.5-1 -2-1% productivity 2 4 8 1. EUV intensity [au].5. -.5-1. -2..

More information

Unit-2 LASER. Syllabus: Properties of lasers, types of lasers, derivation of Einstein A & B Coefficients, Working He-Ne and Ruby lasers.

Unit-2 LASER. Syllabus: Properties of lasers, types of lasers, derivation of Einstein A & B Coefficients, Working He-Ne and Ruby lasers. Unit-2 LASER Syllabus: Properties of lasers, types of lasers, derivation of Einstein A & B Coefficients, Working He-Ne and Ruby lasers. Page 1 LASER: The word LASER is acronym for light amplification by

More information

Collective motor dynamics in membrane transport in vitro. Paige M. Shaklee

Collective motor dynamics in membrane transport in vitro. Paige M. Shaklee Collective motor dynamics in membrane transport in vitro Paige M. Shaklee Promotiecommissie Promotores: Referent: Overige leden: Prof. dr. M. Dogterom Prof. dr. T. Schmidt Prof. dr. C. Schmidt (Universität

More information

Citation for published version (APA): Kooistra, F. B. (2007). Fullerenes for organic electronics [Groningen]: s.n.

Citation for published version (APA): Kooistra, F. B. (2007). Fullerenes for organic electronics [Groningen]: s.n. University of Groningen Fullerenes for organic electronics Kooistra, Floris Berend IMPORTANT NOTE: You are advised to consult the publisher's version (publisher's PDF) if you wish to cite from it. Please

More information

Cover Page. The handle holds various files of this Leiden University dissertation

Cover Page. The handle   holds various files of this Leiden University dissertation Cover Page The handle http://hdl.handle.net/1887/38444 holds various files of this Leiden University dissertation Author: Haan, Arthur den Title: Nuclear magnetic resonance force microscopy at millikelvin

More information

Cover Page. The handle holds various files of this Leiden University dissertation

Cover Page. The handle  holds various files of this Leiden University dissertation Cover Page The handle http://hdl.handle.net/1887/26966 holds various files of this Leiden University dissertation Author: Werkhoven, Tim van Title: Lasers, lenses and light curves : adaptive optics microscopy

More information

Check the LCLS Project website to verify 2 of 6 that this is the correct version prior to use.

Check the LCLS Project website to verify 2 of 6 that this is the correct version prior to use. 1. Introduction The XTOD Offset Systems are designed to spatially separate the useful FEL radiation from high-energy spontaneous radiation and Bremsstrahlung γ-rays. These unwanted radiations are generated

More information

OPTI510R: Photonics. Khanh Kieu College of Optical Sciences, University of Arizona Meinel building R.626

OPTI510R: Photonics. Khanh Kieu College of Optical Sciences, University of Arizona Meinel building R.626 OPTI510R: Photonics Khanh Kieu College of Optical Sciences, University of Arizona kkieu@optics.arizona.edu Meinel building R.626 Announcements Homework #6 is assigned, due May 1 st Final exam May 8, 10:30-12:30pm

More information

Measurement of the Complex Index of Refraction for UO x in the Extreme Ultraviolet

Measurement of the Complex Index of Refraction for UO x in the Extreme Ultraviolet Measurement of the Complex Index of Refraction for UO x in the Extreme Ultraviolet Heidi Dumais Department of Physics and Astronomy, Brigham Young University Abstract - The reflectance and transmittance

More information

Lobster-Eye Hard X-Ray Telescope Mirrors

Lobster-Eye Hard X-Ray Telescope Mirrors Lobster-Eye Hard X-Ray Telescope Mirrors Victor Grubsky, Michael Gertsenshteyn, Keith Shoemaker, Igor Mariyenko, and Tomasz Jannson Physical Optics Corporation, Torrance, CA Mirror Technology Days 007

More information

Lecture 14 Advanced Photolithography

Lecture 14 Advanced Photolithography Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes

More information

Bolometry. H. Kroegler Assciazione Euratom-ENEA sulla Fusione, Frascati (Italy)

Bolometry. H. Kroegler Assciazione Euratom-ENEA sulla Fusione, Frascati (Italy) Bolometry H. Kroegler Assciazione Euratom-ENEA sulla Fusione, Frascati (Italy) Revised May 28, 2002 1. Radiated power Time and space resolved measurements of the total plasma radiation can be done by means

More information

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools Stephen F. Horne, Matthew M. Besen, Matthew J. Partlow, Donald K. Smith, Paul A. Blackborow, Deborah S. Gustafson Agenda Background

More information

Pulsed-power based bright EUV light source for metrology

Pulsed-power based bright EUV light source for metrology Pulsed-power based bright EUV light source for metrology Sergey V. Zakharov NaextStream sas, Buc, France sergey.zakharov@naextstream.com + also with NRC Kurchatov Institute, Moscow, Russia 1 Sources for

More information

Ultrashort Pulse Laser Technology for Processing of Advanced Electronics Materials

Ultrashort Pulse Laser Technology for Processing of Advanced Electronics Materials Ultrashort Pulse Laser Technology for Processing of Advanced Electronics Materials Jim BOVATSEK *1, Rajesh PATEL *1 *1 Spectra-Physics, MKS Instruments, Inc., 3635 Peterson Way, Santa Clara, CA., 95054,

More information

Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation

Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation N. Brimhall *, J. C. Painter, M. Turner, S. V. Voronov, R. S. Turley, M. Ware, and J. Peatross Department of Physics

More information

Citation for published version (APA): Hin, V. (2017). Ontogenesis: Eco-evolutionary perspective on life history complexity.

Citation for published version (APA): Hin, V. (2017). Ontogenesis: Eco-evolutionary perspective on life history complexity. UvA-DARE (Digital Academic Repository) Ontogenesis Hin, V. Link to publication Citation for published version (APA): Hin, V. (2017). Ontogenesis: Eco-evolutionary perspective on life history complexity.

More information

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Advanced Materials Research Center, AMRC, International SEMATECH Manufacturing Initiative, and

More information

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine Content EUV lithography: History and status EUV sources- historical perspective: Age of choice Age of Xe Age of Sn Age

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 5 MICRO AND NANOPROCESSING TECHNOLOGIES Introduction Ion lithography X-ray lithography Soft lithography E-beam lithography Concepts and processes Lithography systems Masks and resists Chapt.9.

More information

Chemistry 524--Final Exam--Keiderling May 4, :30 -?? pm SES

Chemistry 524--Final Exam--Keiderling May 4, :30 -?? pm SES Chemistry 524--Final Exam--Keiderling May 4, 2011 3:30 -?? pm -- 4286 SES Please answer all questions in the answer book provided. Calculators, rulers, pens and pencils are permitted. No open books or

More information

EUV and Soft X-Ray Optics

EUV and Soft X-Ray Optics EUV and Soft X-Ray Optics David Attwood University of California, Berkeley Cheiron School September 2011 SPring-8 1 The short wavelength region of the electromagnetic spectrum n = 1 δ + iβ δ, β

More information

Chamber Development Plan and Chamber Simulation Experiments

Chamber Development Plan and Chamber Simulation Experiments Chamber Development Plan and Chamber Simulation Experiments Farrokh Najmabadi HAPL Meeting November 12-13, 2001 Livermore, CA Electronic copy: http://aries.ucsd.edu/najmabadi/talks UCSD IFE Web Site: http://aries.ucsd.edu/ife

More information

A note on non-periodic tilings of the plane

A note on non-periodic tilings of the plane A note on non-periodic tilings of the plane de Bruijn, N.G. Published: 01/01/1985 Document Version Publisher s PDF, also known as Version of Record (includes final page, issue and volume numbers) Please

More information

UC San Diego EUV Lithography Group Progress Report

UC San Diego EUV Lithography Group Progress Report University of California, San Diego UCSD-CER-10-02 UC San Diego EUV Lithography Group Progress Report M. S. Tillack, Y. Tao, F. Najmabadi, L. Carlson, S. Yuspeh, R. Burdt, A. Farkas, N. Shaikh, N. Amin,

More information

Spectroscopy Problem Set February 22, 2018

Spectroscopy Problem Set February 22, 2018 Spectroscopy Problem Set February, 018 4 3 5 1 6 7 8 1. In the diagram above which of the following represent vibrational relaxations? 1. Which of the following represent an absorbance? 3. Which of following

More information

Padraig Dunne, UCD School of Physics Dublin, Ireland.

Padraig Dunne, UCD School of Physics Dublin, Ireland. Padraig Dunne, UCD School of Physics Dublin, Ireland. Contents Zurich Prague Dublin Padova Carl Zeiss Aachen ASML IMEC EPPRA Xtreme ISAN ISAN Progress in on line MLM carbon cleaning Progress in radiative

More information

Efficiency and Attenuation in CdTe Detectors

Efficiency and Attenuation in CdTe Detectors Efficiency and Attenuation in CdTe Detectors Amptek Inc. Bob Redus, May 5, 00 Amptek s XR-00T-CdTe is a high performance x-ray and gamma ray detector system. Like Amptek s other XR00 products, a detector

More information

Multi (building)physics modeling

Multi (building)physics modeling Multi (building)physics modeling van Schijndel, A.W.M. Published: 01/01/2010 Document Version Publisher s PDF, also known as Version of Record (includes final page, issue and volume numbers) Please check

More information

Transient Thermal Measurement and Behavior of Integrated Circuits

Transient Thermal Measurement and Behavior of Integrated Circuits Transient Thermal Measurement and Behavior of Integrated Circuits Dustin Kendig¹*, Kazuaki Kazawa 1,2, and Ali Shakouri 2 ¹Microsanj LLC 3287 Kifer Rd, Santa Clara, CA 95051, USA ² Birck Nanotechnology

More information

Progress on ASML s EUV Alpha Demo Tool

Progress on ASML s EUV Alpha Demo Tool Progress on ASML s EUV Alpha Demo Tool Noreen Harned 1, Peter Kuerz 3, Hans Meiling 2, Bas Mertens 5, Gregor van Baars 4 3rd International EUVL Symposium 2 November 2004 1 ASML, Wilton, CT; 2 ASML, Veldhoven,

More information

Laser Ablation for Chemical Analysis: 50 Years. Rick Russo Laser Damage Boulder, CA September 25, 2012

Laser Ablation for Chemical Analysis: 50 Years. Rick Russo Laser Damage Boulder, CA September 25, 2012 Laser Ablation for Chemical Analysis: 50 Years Rick Russo Lawrence Berkeley National Laboratory Applied Spectra, Inc 2012 Laser Damage Boulder, CA September 25, 2012 Laser Ablation for Chemical Analysis:

More information

Vortex statistics for turbulence in a container with rigid boundaries Clercx, H.J.H.; Nielsen, A.H.

Vortex statistics for turbulence in a container with rigid boundaries Clercx, H.J.H.; Nielsen, A.H. Vortex statistics for turbulence in a container with rigid boundaries Clercx, H.J.H.; Nielsen, A.H. Published in: Physical Review Letters DOI: 0.03/PhysRevLett.85.752 Published: 0/0/2000 Document Version

More information

Comparison of experimental and simulated extreme ultraviolet spectra of xenon and tin discharges

Comparison of experimental and simulated extreme ultraviolet spectra of xenon and tin discharges Comparison of experimental and simulated extreme ultraviolet spectra of xenon and tin discharges E. R. Kieft,* K. Garloff, and J. J. A. M. van der Mullen Department of Applied Physics, Eindhoven University

More information

Plasma shielding during ITER disruptions

Plasma shielding during ITER disruptions Plasma shielding during ITER disruptions Sergey Pestchanyi and Richard Pitts 1 Integrated tokamak code TOKES is a workshop with various tools objects Radiation bremsstrahlung recombination s line s cyclotron

More information

Semiconductor X-Ray Detectors. Tobias Eggert Ketek GmbH

Semiconductor X-Ray Detectors. Tobias Eggert Ketek GmbH Semiconductor X-Ray Detectors Tobias Eggert Ketek GmbH Semiconductor X-Ray Detectors Part A Principles of Semiconductor Detectors 1. Basic Principles 2. Typical Applications 3. Planar Technology 4. Read-out

More information

CHEM*3440. Photon Energy Units. Spectrum of Electromagnetic Radiation. Chemical Instrumentation. Spectroscopic Experimental Concept.

CHEM*3440. Photon Energy Units. Spectrum of Electromagnetic Radiation. Chemical Instrumentation. Spectroscopic Experimental Concept. Spectrum of Electromagnetic Radiation Electromagnetic radiation is light. Different energy light interacts with different motions in molecules. CHEM*344 Chemical Instrumentation Topic 7 Spectrometry Radiofrequency

More information

Plasma EUV source has been studied to achieve 180W of power at λ=13.5nm, which is required for the next generation microlithography

Plasma EUV source has been studied to achieve 180W of power at λ=13.5nm, which is required for the next generation microlithography Acknowledgement K. Nishihara, H. Nishimura, S. Fujioka Institute for Laser Engineering, Osaka University A. Sunahara, H. Furukawa Institute for Laser Technology T. Nishikawa, Okayama University F. Koike,

More information

Chemistry 311: Instrumentation Analysis Topic 2: Atomic Spectroscopy. Chemistry 311: Instrumentation Analysis Topic 2: Atomic Spectroscopy

Chemistry 311: Instrumentation Analysis Topic 2: Atomic Spectroscopy. Chemistry 311: Instrumentation Analysis Topic 2: Atomic Spectroscopy Topic 2b: X-ray Fluorescence Spectrometry Text: Chapter 12 Rouessac (1 week) 4.0 X-ray Fluorescence Download, read and understand EPA method 6010C ICP-OES Winter 2009 Page 1 Atomic X-ray Spectrometry Fundamental

More information