Advanced and Emerging Devices: SEMATECH s Perspective

Size: px
Start display at page:

Download "Advanced and Emerging Devices: SEMATECH s Perspective"

Transcription

1 SEMATECH Symposium October 23, 2012 Seoul Accelerating the next technology revolution Advanced and Emerging Devices: SEMATECH s Perspective Paul Kirsch Director, FEP Division Copyright 2012 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.

2 Outline Overview III-V on Si Heterointegration Device Modules Device Results RRAM Memory Summary 10/15/2012 2

3 Question: Can process technology keep up with data demand? Source: M. Mayberry, Intel, IEEE VLSI Symposium /15/2012 3

4 Image: 'E _ A_17_L26_rot.dm3' MAG: 115kX PCL: Answer: New materials & structures are needed Fin MG Stress Epi on Fin Slot Contacts Replacement MG Nanowires ALD Doping III-V FinFETs 92 nm 24 nm 2 0 n m 3D stacking Heat Sink and memory Logic memory TIM memory memory Si TSV interposer SOC Theme: Image Credit: Intel SEMATECH materials (III-V), structures (finfet), memory (RRAM) for future SOC 10/15/2012 4

5 Five year plan & device roadmap Manufacturing Development Ge pmos; III-V nmos 2019 III-V TFET 2021 D. K. Mohata VLSI 2012???? 2024 Ge pmos; Si nmos 2015 Ge CMOS 2017 S. Banerjee 2009 Si FinFET nd Gen HKMG 2009 Intel Intel Pathfinding: SEMATECH Space 32nm 22nm 14nm 10nm 7nm 5nm 3nm 10/15/2012 5

6 Grand challenges to realize the III-V roadmap Technology Grand Challenges Development Dates HVM III-V MOCVD epi III-V epi metrology III-V FinFET STI, CMP III-V on Si III-V doping, activation, Rco III-V ESH III-V gate dielectric & reliability III-V pfet III-V Tunnel FET 10/15/2012 6

7 Outline Overview III-V on Si Heterointegration Device Modules Device Results RRAM Memory Summary 10/15/2012 7

8 Some III-V fin formation options Option 1: Ge & III-V Replacement Fin HM Si Ge III-V Si Ge Option 2: Top-Down Fin Etch Si Si Si Replace (Grown) Fin HM HM Fin height HM HM Fin height HM HM Fin height Fin height Buffer Buffer Buffer Buffer Si Si Si Si Etched Fin 10/15/2012 8

9 Selective Epi key for low defect replacement FIN GaAs Ox GaAs Ox GaAs 500nm Aspect Ratio Trapping (ART) SiGe / Ge III-V Si Ge 30nm 70% Ge Si Ox Significance: Good scalability, ART in 30 nm feature demonstrated. 10/15/2012 9

10 Improved ART for defect reduction in MOCVD III-V on Si GaAs SiO 2 GaAs Si Si III-V Si Ge Dislocations appear to terminate at sidewalls in XTEM Is there more direct proof that ART works? Aspect Ratio Trapping Si 10/15/

11 Direct proof of reduced III-V threading dislocations X-TEM PVTEM Thick TEM sample (~200nm) GaAs + Si Stacking faults Thick TEM Sample TDs SFs (~200nm) TDs Thin TEM Sample Thin TEM sample (~100nm) GaAs only SFs (~100nm) No TDs observed! Stacking faults Significance: Threading Defects can be reduced with ART III-V on Si 10/15/

12 III-V Fin etch development InGaAs & InAlAs etch development Hardmask Smooth InAlAs 300A InGaAs 1500A InGaAs InGaAs/InAlAs etch ready for STI process flows. Developed with TEL Y. Ohsawa, K Akiteru, Y. Trickett, G. Nakamura H Ohtake 10/15/

13 300mm III-V MOCVD tool at SEMATECH-Albany Top down or bottom up fin requires epi Safety: Independent room, air handling, scrubbers, detectors, exits III-As, III-P, III-Sb, III-N capable tool and space Several new members join consortia for this capability 10/15/

14 Outline Overview III-V on Si Heterointegration Device Modules Device Results RRAM Memory Summary 10/15/

15 P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P P III-V non-planar doping module Approach MLD Beam Line Fin Formation DHF Deglaze Wet Chemical Doping Native oxide P P P P P P P P P P P P Process Steps Cap Removal Anneal Oxide Cap P P P P P P P P P P P P P P Doping Uniformity Uniform & Conformal around Fin (by means of wet chemical doping) Poor Uniformity, Non-Conformal FIN Damage No Damage (Wet Chemical Doping) Severe Ion Implant Damage Junction Doping Remarks Fin-Width, Thermal Budget Dependent Defect-Free USJ (<10nm) & highly conformal Cap layer selection is critical Good Industry standard process Severe Fin damage Junction depth >20nm 10/15/

16 Column VI Dopant Conc (1/cm3) Column VI MLD and flash anneal promising ~10nm Xj achieved with 1e20/cm3 active dopant InGaAs Xj=10nm Damage Free, Ultrashallow junctions, with high active dopant possible in III-V 10/15/

17 N D,eff (/cm 3 ) Column VI MLD and flash anneal promising 1E20/cm 3 active dopant achieved in InGaAs q/kt (/ev) Onset of agglomeration (Soak Anneal) Flash Soak Charged Voltage(kV) Damage Free, Ultrashallow junctions, with high active dopant possible in III-V Nd,eff = effective/ activated dopant concentration 10/15/

18 R sh ( /sq) Figure of merit for III-V junction Promising plasma and monolayer doping results 10 4 Plasma 10 3 Beamline Monolayer Doping Beamline challenging to achieve shallow X j and high N D 10 2 ~5e18 ~1e19 MLD, Plasma enables shallow X j and high N D 10 1 Target Target X 5E18 /cm 3 (nm) ~5e19 Active doping similar to in-situ doping (N D >5x10 19 cm -3 ) Junction depth targets are within reach 10/15/

19 Outline Overview III-V on Si Heterointegration Device Modules Device Results RRAM Memory Summary 10/15/

20 (cm 2 /Vs) Gate first 200 mm pilot line III-V devices using VLSI Si process infrastructure! Al M1 SEM decoration etch ILD W TiN Gate Uncorrected R SD corrected Si Reference III-V mesa um x x x10 13 III-V devices heterointegrated on Si in standard VLSI fab High thermal budget of Gate first process limits potential R. J. Hill SEMATECH, IEEE IEDM, 2010 n s (cm -2 ) 10/15/

21 I D [A/ m] Gate last InAs MOSHEMT results High performance short loop test structure 1E-3 1E-4 1E-5 1E-6 1E-7 Lg = 100 nm Lg = 130 nm Lg = 200 nm Lg = 250 nm I ON /I OFF > 3 orders S = 105 mv/dec V DS = 0.5 V E-8 1/3 V DD /3 V DD V G [V] 1E V GS [V] SS = 105 mv/dec. at L g = 100 nm with D it = 4 x /ev.cm 2 Significance: Low Temp Flow, InAs material give good Ion/Ioff & SCE T. Kim SEMATECH, IEEE VLSI Symp, /15/

22 g m,ext (ms/ m) Gate last InAs MOSHEMT benchmarking Short loop test structure with record performance g m,ext [ms/ m] Solid fill V DD = 0.5 V Open Symbol V DD = 1~2V [1] [7] [2] Q=25 This Work [10] [9] Q=15 [7] [8] Q=10 Lg = 100nm record g m,ext L g (nm) [8] [11] SS [mv/dec] Q=1 Q=0.5 Record RF and g m performance suggests module work (epi, gate, contact) progessing Impact: Good performance and short channel effects down to 100nm sub 50nm encouraging too. T. Kim SEMATECH, IEEE VLSI Symp, /15/

23 InAs benchmarking: injection velocity (v inj ) 4 InAs HEMT n ~ 13,000 cm 2 /V-s v x0 [10 7 cm/s] n ~ 9,500 cm 2 /V-s In 0.53 GaAs *Strain-Si *Si nfets V DS = 0.5 V (V DS = 1.1 ~ 1.3 V) L g [nm] - InAs MOSFET shows 2 X higher v inj than Si, even at V DS = 0.5 V - Consistent V inj depending on channel mobility 10/15/

24 InAs benchmarking: injection velocity (v inj ) 4 InAs HEMT n ~ 13,000 cm 2 /V-s v x0 [10 7 cm/s] n ~ 9,500 cm 2 /V-s In 0.53 GaAs n ~ 11,200 cm 2 /V-s InAs QW MOSFET *Strain-Si *Si nfets V DS = 0.5 V (V DS = 1.1 ~ 1.3 V) L g [nm] - InAs MOSFET shows 2 X higher v inj than Si, even at V DS = 0.5 V - V inj on short channel tracks long channel mobility (thus far) 10/15/

25 Outline Overview III-V on Si Heterointegration Device Modules Device Results RRAM Memory Summary 10/15/

26 Potential RRAM applications Case 1: System On Chip (embedded) Attributes BEOL integration Simple materials Speed, power, non-volatility Multi layers possible Monolithic, local storage Use HK/MG tools, etch learning Case 2: High Density (enand, SCM) Challenges Selector device Etch damage Low thermal budget needed Conformal processes CMP of BE (smooth) Patterning costs Parasitic R of metal lines 10/15/

27 Excellent memory test vehicle for NVM Center Cross Section Lower Currents With 1T1R M2 Source nfet Drain STI 1T1R (C p <50 ff) avoids wrong data 40nm Significance: Excellent Test structure ready for RRAM, STT-MRAM 10/15/

28 Hf metal counts Mechanism: RRAM filament seen with STEM / EELS TE Filament is: Conical 2.5nm at bottom One dominant CF RRAM conductive filament STEM Analysis by S. Privitera, S. Lombardo IMM-CNR, Catania, Italy BE Forming nm I BE ReRAM Hf-O breaks along grain boundary ; O diffusion Understanding needed for scalability, variability, endurance 10/15/

29 RRAM stack, structure, methods good Basics in place to test RRAM mfg readiness Significance: Engr RRAM for 3D compatibility & low variability/noise 10/15/

30 RRAM endurance improves Application space may increase Significance: Better Filament / Structures control improves cycling High density, SCM, embedded NAND applications may open up for RRAM 10/15/

31 RRAM cycling endurance Only 3 cyc Mean&Sigma from 50 cycles Significance: RRAM is fast, non-volatile, low power endurance & variability are improving 10/15/

32 Summary Scaling driven by new materials and architectures. Non-Planar III-V on Si Heterointegration: Selective MOCVD in ART promising for HVM. Etched fin profile demonstrated. MLD doping attractive damage free junction process RRAM Attractive for both embedded and NAND applications. Low reset currents achieved with fab friendly material set. AC endurance >344B cycles demonstrated. Variability and noise next issue to address (iedm 2012) 10/15/

III-V CMOS: What have we learned from HEMTs? J. A. del Alamo, D.-H. Kim 1, T.-W. Kim, D. Jin, and D. A. Antoniadis

III-V CMOS: What have we learned from HEMTs? J. A. del Alamo, D.-H. Kim 1, T.-W. Kim, D. Jin, and D. A. Antoniadis III-V CMOS: What have we learned from HEMTs? J. A. del Alamo, D.-H. Kim 1, T.-W. Kim, D. Jin, and D. A. Antoniadis Microsystems Technology Laboratories, MIT 1 presently with Teledyne Scientific 23rd International

More information

The Prospects for III-Vs

The Prospects for III-Vs 10 nm CMOS: The Prospects for III-Vs J. A. del Alamo, Dae-Hyun Kim 1, Donghyun Jin, and Taewoo Kim Microsystems Technology Laboratories, MIT 1 Presently with Teledyne Scientific 2010 European Materials

More information

The Pennsylvania State University. Kurt J. Lesker Company. North Carolina State University. Taiwan Semiconductor Manufacturing Company 1

The Pennsylvania State University. Kurt J. Lesker Company. North Carolina State University. Taiwan Semiconductor Manufacturing Company 1 Enhancement Mode Strained (1.3%) Germanium Quantum Well FinFET (W fin =20nm) with High Mobility (μ Hole =700 cm 2 /Vs), Low EOT (~0.7nm) on Bulk Silicon Substrate A. Agrawal 1, M. Barth 1, G. B. Rayner

More information

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room).

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). The Final Exam will take place from 12:30PM to 3:30PM on Saturday May 12 in 60 Evans.» All of

More information

Multiple Gate CMOS and Beyond

Multiple Gate CMOS and Beyond Multiple CMOS and Beyond Dept. of EECS, KAIST Yang-Kyu Choi Outline 1. Ultimate Scaling of MOSFETs - 3nm Nanowire FET - 8nm Non-Volatile Memory Device 2. Multiple Functions of MOSFETs 3. Summary 2 CMOS

More information

InGaAs Double-Gate Fin-Sidewall MOSFET

InGaAs Double-Gate Fin-Sidewall MOSFET InGaAs Double-Gate Fin-Sidewall MOSFET Alon Vardi, Xin Zhao and Jesús del Alamo Microsystems Technology Laboratories, MIT June 25, 214 Sponsors: Sematech, Technion-MIT Fellowship, and NSF E3S Center (#939514)

More information

Self-Aligned InGaAs FinFETs with 5-nm Fin-Width and 5-nm Gate-Contact Separation

Self-Aligned InGaAs FinFETs with 5-nm Fin-Width and 5-nm Gate-Contact Separation Self-Aligned InGaAs FinFETs with 5-nm Fin-Width and 5-nm Gate-Contact Separation Alon Vardi, Lisa Kong, Wenjie Lu, Xiaowei Cai, Xin Zhao, Jesús Grajal* and Jesús A. del Alamo Microsystems Technology Laboratories,

More information

Ultra-Scaled InAs HEMTs

Ultra-Scaled InAs HEMTs Performance Analysis of Ultra-Scaled InAs HEMTs Neerav Kharche 1, Gerhard Klimeck 1, Dae-Hyun Kim 2,3, Jesús. A. del Alamo 2, and Mathieu Luisier 1 1 Network for Computational ti Nanotechnology and Birck

More information

30 nm In 0.7 Ga 0.3 As Inverted-type HEMT with Reduced Gate Leakage Current for Logic Applications

30 nm In 0.7 Ga 0.3 As Inverted-type HEMT with Reduced Gate Leakage Current for Logic Applications 30 nm In 0.7 Ga 0.3 As Inverted-type HEMT with Reduced Gate Leakage Current for Logic Applications T.-W. Kim, D.-H. Kim* and J. A. del Alamo Microsystems Technology Laboratories MIT Presently with Teledyne

More information

Enhanced Mobility CMOS

Enhanced Mobility CMOS Enhanced Mobility CMOS Judy L. Hoyt I. Åberg, C. Ni Chléirigh, O. Olubuyide, J. Jung, S. Yu, E.A. Fitzgerald, and D.A. Antoniadis Microsystems Technology Laboratory MIT, Cambridge, MA 02139 Acknowledge

More information

High Mobility Materials and Novel Device Structures for High Performance Nanoscale MOSFETs

High Mobility Materials and Novel Device Structures for High Performance Nanoscale MOSFETs High Mobility Materials and Novel Device Structures for High Performance Nanoscale MOSFETs Prof. (Dr.) Tejas Krishnamohan Department of Electrical Engineering Stanford University, CA & Intel Corporation

More information

Components Research, TMG Intel Corporation *QinetiQ. Contact:

Components Research, TMG Intel Corporation *QinetiQ. Contact: 1 High-Performance 4nm Gate Length InSb P-Channel Compressively Strained Quantum Well Field Effect Transistors for Low-Power (V CC =.5V) Logic Applications M. Radosavljevic,, T. Ashley*, A. Andreev*, S.

More information

Performance Enhancement of P-channel InGaAs Quantum-well FETs by Superposition of Process-induced Uniaxial Strain and Epitaxially-grown Biaxial Strain

Performance Enhancement of P-channel InGaAs Quantum-well FETs by Superposition of Process-induced Uniaxial Strain and Epitaxially-grown Biaxial Strain Performance Enhancement of P-channel InGaAs Quantum-well FETs by Superposition of Process-induced Uniaxial Strain and Epitaxially-grown Biaxial Strain Ling Xia 1, Vadim Tokranov 2, Serge R. Oktyabrsky

More information

Prospects for Ge MOSFETs

Prospects for Ge MOSFETs Prospects for Ge MOSFETs Sematech Workshop December 4, 2005 Dimitri A. Antoniadis Microsystems Technology Laboratories MIT Sematech Workshop 2005 1 Channel Transport - I D I D =WQ i (x 0 )v xo v xo : carrier

More information

Recent Development of FinFET Technology for CMOS Logic and Memory

Recent Development of FinFET Technology for CMOS Logic and Memory Recent Development of FinFET Technology for CMOS Logic and Memory Chung-Hsun Lin EECS Department University of California at Berkeley Why FinFET Outline FinFET process Unique features of FinFET Mobility,

More information

Quantum-size effects in sub-10 nm fin width InGaAs finfets

Quantum-size effects in sub-10 nm fin width InGaAs finfets Quantum-size effects in sub-10 nm fin width InGaAs finfets Alon Vardi, Xin Zhao, and Jesús A. del Alamo Microsystems Technology Laboratories, MIT December 9, 2015 Sponsors: DTRA NSF (E3S STC) Northrop

More information

EE410 vs. Advanced CMOS Structures

EE410 vs. Advanced CMOS Structures EE410 vs. Advanced CMOS Structures Prof. Krishna S Department of Electrical Engineering S 1 EE410 CMOS Structure P + poly-si N + poly-si Al/Si alloy LPCVD PSG P + P + N + N + PMOS N-substrate NMOS P-well

More information

MOS Transistor Properties Review

MOS Transistor Properties Review MOS Transistor Properties Review 1 VLSI Chip Manufacturing Process Photolithography: transfer of mask patterns to the chip Diffusion or ion implantation: selective doping of Si substrate Oxidation: SiO

More information

Performance Analysis of Ultra-Scaled InAs HEMTs

Performance Analysis of Ultra-Scaled InAs HEMTs Purdue University Purdue e-pubs Birck and NCN Publications Birck Nanotechnology Center 2009 Performance Analysis of Ultra-Scaled InAs HEMTs Neerav Kharche Birck Nanotechnology Center and Purdue University,

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 23, 2018 MOS Transistor Theory, MOS Model Penn ESE 570 Spring 2018 Khanna Lecture Outline! CMOS Process Enhancements! Semiconductor

More information

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance 1 Seminar Spacer Lithography for Reduced Variability in MOSFET Performance Prof. Tsu-Jae King Liu Electrical Engineering & Computer Sciences Dept. University of California at Berkeley Graduate Student:

More information

Chapter 3 Basics Semiconductor Devices and Processing

Chapter 3 Basics Semiconductor Devices and Processing Chapter 3 Basics Semiconductor Devices and Processing Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives Identify at least two

More information

High aspect-ratio InGaAs FinFETs with sub-20 nm fin width

High aspect-ratio InGaAs FinFETs with sub-20 nm fin width High aspect-rati InGaAs FinFETs with sub-2 nm fin width Aln Vardi, Jianqiang Lin, Wenjie Lu, Xin Zha and Jesús A. del Alam Micrsystems Technlgy Labratries, MIT June 15, 216 Spnsrs: DTRA (HDTRA 1-14-1-57),

More information

MOSFET: Introduction

MOSFET: Introduction E&CE 437 Integrated VLSI Systems MOS Transistor 1 of 30 MOSFET: Introduction Metal oxide semiconductor field effect transistor (MOSFET) or MOS is widely used for implementing digital designs Its major

More information

Extending the Era of Moore s Law

Extending the Era of Moore s Law 14 nm chip X SEM from www.intel.com/content/dam/www/public/us/en/documents/pdf/foundry/mark bohr 2014 idf presentation.pdf Extending the Era of Moore s Law Tsu Jae King Liu Department of Electrical Engineering

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective Semiconductor Memories Adapted from Chapter 12 of Digital Integrated Circuits A Design Perspective Jan M. Rabaey et al. Copyright 2003 Prentice Hall/Pearson Outline Memory Classification Memory Architectures

More information

Electric-Field Induced F - Migration in Self-Aligned InGaAs MOSFETs and Mitigation

Electric-Field Induced F - Migration in Self-Aligned InGaAs MOSFETs and Mitigation Electric-Field Induced F - Migration in Self-Aligned InGaAs MOSFETs and Mitigation X. Cai, J. Lin, D. A. Antoniadis and J. A. del Alamo Microsystems Technology Laboratories, MIT December 5, 2016 Sponsors:

More information

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications D. Tsoukalas, S. Kolliopoulou, P. Dimitrakis, P. Normand Institute of Microelectronics, NCSR Demokritos, Athens, Greece S. Paul,

More information

Analysis of Band-to-band. Tunneling Structures. Title of Talk. Dimitri Antoniadis and Judy Hoyt (PIs) Jamie Teherani and Tao Yu (Students) 8/21/2012

Analysis of Band-to-band. Tunneling Structures. Title of Talk. Dimitri Antoniadis and Judy Hoyt (PIs) Jamie Teherani and Tao Yu (Students) 8/21/2012 1 Analysis of Band-to-band Title of Talk Tunneling Structures Dimitri Antoniadis and Judy Hoyt (PIs) Jamie Teherani and Tao Yu (Students) 8/21/2012 A Science & Technology Center Vertical Type-II TFET Structure

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 29, 2019 MOS Transistor Theory, MOS Model Penn ESE 570 Spring 2019 Khanna Lecture Outline! CMOS Process Enhancements! Semiconductor

More information

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing EE115C Winter 2017 Digital Electronic Circuits Lecture 3: MOS RC Model, CMOS Manufacturing Agenda MOS Transistor: RC Model (pp. 104-113) S R on D CMOS Manufacturing Process (pp. 36-46) S S C GS G G C GD

More information

Semiconductor Memories

Semiconductor Memories Semiconductor References: Adapted from: Digital Integrated Circuits: A Design Perspective, J. Rabaey UCB Principles of CMOS VLSI Design: A Systems Perspective, 2nd Ed., N. H. E. Weste and K. Eshraghian

More information

! CMOS Process Enhancements. ! Semiconductor Physics. " Band gaps. " Field Effects. ! MOS Physics. " Cut-off. " Depletion.

! CMOS Process Enhancements. ! Semiconductor Physics.  Band gaps.  Field Effects. ! MOS Physics.  Cut-off.  Depletion. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 3, 018 MOS Transistor Theory, MOS Model Lecture Outline! CMOS Process Enhancements! Semiconductor Physics " Band gaps " Field Effects!

More information

Microsystems Technology Laboratories, MIT. Teledyne Scientific Company (TSC)

Microsystems Technology Laboratories, MIT. Teledyne Scientific Company (TSC) Extraction of Virtual-Source Injection Velocity in sub-100 nm III-V HFETs 1,2) D.-H. Kim, 1) J. A. del Alamo, 1) D. A. Antoniadis and 2) B. Brar 1) Microsystems Technology Laboratories, MIT 2) Teledyne

More information

Advanced Flash and Nano-Floating Gate Memories

Advanced Flash and Nano-Floating Gate Memories Advanced Flash and Nano-Floating Gate Memories Mater. Res. Soc. Symp. Proc. Vol. 1337 2011 Materials Research Society DOI: 10.1557/opl.2011.1028 Scaling Challenges for NAND and Replacement Memory Technology

More information

CMPEN 411 VLSI Digital Circuits. Lecture 03: MOS Transistor

CMPEN 411 VLSI Digital Circuits. Lecture 03: MOS Transistor CMPEN 411 VLSI Digital Circuits Lecture 03: MOS Transistor Kyusun Choi [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] CMPEN 411 L03 S.1

More information

A Multi-Gate CMOS Compact Model BSIMMG

A Multi-Gate CMOS Compact Model BSIMMG A Multi-Gate CMOS Compact Model BSIMMG Darsen Lu, Sriramkumar Venugopalan, Tanvir Morshed, Yogesh Singh Chauhan, Chung-Hsun Lin, Mohan Dunga, Ali Niknejad and Chenming Hu University of California, Berkeley

More information

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories Digital Integrated Circuits A Design Perspective Semiconductor Chapter Overview Memory Classification Memory Architectures The Memory Core Periphery Reliability Case Studies Semiconductor Memory Classification

More information

N ano scale l S il ii lco i n B ased N o nvo lat l i atl ie l M em ory r Chungwoo Kim, Ph.D.

N ano scale l S il ii lco i n B ased N o nvo lat l i atl ie l M em ory r Chungwoo Kim, Ph.D. cw_kim@samsung.com Acknowledgements Collaboration Funding Outline Introduction Current research status Nano fabrication Process Nanoscale patterning SiN thin film Si Nanoparticle Nano devices Nanoscale

More information

Overview of EUV Lithography and EUV Optics Contamination

Overview of EUV Lithography and EUV Optics Contamination Accelerating the next technology revolution Overview of EUV Lithography and EUV Optics Contamination Andrea Wüest NIST Contamination WS Gaithersburg, MD June 2, 2009 Copyright 2008 SEMATECH, Inc. SEMATECH,

More information

GMU, ECE 680 Physical VLSI Design 1

GMU, ECE 680 Physical VLSI Design 1 ECE680: Physical VLSI Design Chapter VIII Semiconductor Memory (chapter 12 in textbook) 1 Chapter Overview Memory Classification Memory Architectures The Memory Core Periphery Reliability Case Studies

More information

Thin Film Transistors (TFT)

Thin Film Transistors (TFT) Thin Film Transistors (TFT) a-si TFT - α-si:h (Hydrogenated amorphous Si) deposited with a PECVD system (low temp. process) replaces the single crystal Si substrate. - Inverted staggered structure with

More information

Microelectronics Part 1: Main CMOS circuits design rules

Microelectronics Part 1: Main CMOS circuits design rules GBM8320 Dispositifs Médicaux telligents Microelectronics Part 1: Main CMOS circuits design rules Mohamad Sawan et al. Laboratoire de neurotechnologies Polystim! http://www.cours.polymtl.ca/gbm8320/! med-amine.miled@polymtl.ca!

More information

Feature-level Compensation & Control. Process Integration September 15, A UC Discovery Project

Feature-level Compensation & Control. Process Integration September 15, A UC Discovery Project Feature-level Compensation & Control Process Integration September 15, 2005 A UC Discovery Project Current Milestones Si/Ge-on-insulator and Strained Si-on-insulator Substrate Engineering (M28 YII.13)

More information

Scaling Issues in Planar FET: Dual Gate FET and FinFETs

Scaling Issues in Planar FET: Dual Gate FET and FinFETs Scaling Issues in Planar FET: Dual Gate FET and FinFETs Lecture 12 Dr. Amr Bayoumi Fall 2014 Advanced Devices (EC760) Arab Academy for Science and Technology - Cairo 1 Outline Scaling Issues for Planar

More information

EV Group. Engineered Substrates for future compound semiconductor devices

EV Group. Engineered Substrates for future compound semiconductor devices EV Group Engineered Substrates for future compound semiconductor devices Engineered Substrates HB-LED: Engineered growth substrates GaN / GaP layer transfer Mobility enhancement solutions: III-Vs to silicon

More information

The Future of CMOS. David Pulfrey. CHRONOLOGY of the FET. Lecture Lilienfeld s patent (BG FET) 1965 Commercialization (Fairchild)

The Future of CMOS. David Pulfrey. CHRONOLOGY of the FET. Lecture Lilienfeld s patent (BG FET) 1965 Commercialization (Fairchild) The Future of CMOS David Pulfrey 1 CHRONOLOGY of the FET 1933 Lilienfeld s patent (BG FET) 1965 Commercialization (Fairchild) 1991 The most abundant object made by mankind (C.T. Sah) 2003 The 10 nm FET

More information

ENABLEMENT OF COMPACT MODELS FOR

ENABLEMENT OF COMPACT MODELS FOR ENABLEMENT OF COMPACT MODELS FOR ULTRA-SCALED CMOS TECHNOLOGIES D. YAKIMETS, P. SCHUDDINCK, D. JANG, M. GARCIA BARDON, N. SHARAN, B. PARVAIS*, P. RAGHAVAN, AND A. MOCUTA IMEC, KAPELDREEF 75, 3001 LEUVEN,

More information

P. R. Nelson 1 ECE418 - VLSI. Midterm Exam. Solutions

P. R. Nelson 1 ECE418 - VLSI. Midterm Exam. Solutions P. R. Nelson 1 ECE418 - VLSI Midterm Exam Solutions 1. (8 points) Draw the cross-section view for A-A. The cross-section view is as shown below.. ( points) Can you tell which of the metal1 regions is the

More information

! CMOS Process Enhancements. ! Semiconductor Physics. " Band gaps. " Field Effects. ! MOS Physics. " Cut-off. " Depletion.

! CMOS Process Enhancements. ! Semiconductor Physics.  Band gaps.  Field Effects. ! MOS Physics.  Cut-off.  Depletion. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 9, 019 MOS Transistor Theory, MOS Model Lecture Outline CMOS Process Enhancements Semiconductor Physics Band gaps Field Effects

More information

Mechanism of Switching and Related Challenges in Transition Metal Oxide Based RRAM Devices

Mechanism of Switching and Related Challenges in Transition Metal Oxide Based RRAM Devices Mechanism of Switching and Related Challenges in Transition Metal Oxide Based RRAM Devices Rashmi Jha and Branden Long Dept. of Electrical Engineering and Computer Science University of Toledo Toledo,

More information

Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling

Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling Victor Moroz *, Munkang Choi *, Geert Van der Plas, Paul Marchal, Kristof Croes, and Eric Beyne * Motivation: Build Reliable 3D IC

More information

SPCC Department of Bio-Nano Technology and 2 Materials Science and Chemical Engineering, Hanyang University, Ansan, 15588, Republic of Korea.

SPCC Department of Bio-Nano Technology and 2 Materials Science and Chemical Engineering, Hanyang University, Ansan, 15588, Republic of Korea. SPCC 2018 Hanyang University NEMPL Jin-Goo Park 1,2 *, Jung-Hwan Lee a, In-chan Choi 1, Hyun-Tae Kim 1, Lieve Teugels 3, and Tae-Gon Kim 3 1 Department of Bio-Nano Technology and 2 Materials Science and

More information

L ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling

L ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling L13 04202017 ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling Scaling laws: Generalized scaling (GS) p. 610 Design steps p.613 Nanotransistor issues (page 626) Degradation

More information

Modeling Random Variability of 16nm Bulk FinFETs

Modeling Random Variability of 16nm Bulk FinFETs Modeling Random Variability of 16nm Bulk FinFETs Victor Moroz, Qiang Lu, and Munkang Choi September 9, 2010 1 Outline 2 Outline 3 16nm Bulk FinFETs for 16nm Node Simulation domain 24nm fin pitch 56nm gate

More information

SELECTIVE ETCH REQUIREMENTS FOR THE NEXT GENERATION OF SEMICONDUCTOR DEVICES

SELECTIVE ETCH REQUIREMENTS FOR THE NEXT GENERATION OF SEMICONDUCTOR DEVICES SELECTIVE ETCH REQUIREMENTS FOR THE NEXT GENERATION OF SEMICONDUCTOR DEVICES FRANK HOLSTEYNS ON BEHALF OF THE SURFACE AND INTERFACE PREPARATION GROUP OF THE UNIT PROCESS DEPARTMENT 10 TH OF APRIL 2018

More information

There s Plenty of Room at the Bottom and at the Top

There s Plenty of Room at the Bottom and at the Top 14 nm chip X SEM from www.intel.com/content/dam/www/public/us/en/documents/pdf/foundry/mark bohr 2014 idf presentation.pdf There s Plenty of Room at the Bottom and at the Top Tsu Jae King Liu Department

More information

Technology Development & Design for 22 nm InGaAs/InP-channel MOSFETs

Technology Development & Design for 22 nm InGaAs/InP-channel MOSFETs 2008 Indium Phosphide and Related Materials Conference, May, Versailles, France Technology Development & Design for 22 nm InGaAs/InP-channel MOSFETs M. Rodwell University of California, Santa Barbara M.

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction q Integrated circuits: many transistors on one chip q Very Large Scale Integration (VLSI): bucketloads! q Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Technology Development for InGaAs/InP-channel MOSFETs

Technology Development for InGaAs/InP-channel MOSFETs MRS Spring Symposium, Tutorial: Advanced CMOS Substrates, Devices, Reliability, and Characterization, April 13, 2009, San Francisco Technology Development for InGaAs/InP-channel MOSFETs Mark Rodwell University

More information

Overview of Modeling and Simulation TCAD - FLOOPS / FLOODS

Overview of Modeling and Simulation TCAD - FLOOPS / FLOODS Overview of Modeling and Simulation TCAD - FLOOPS / FLOODS Modeling Overview Strain Effects Thermal Modeling TCAD Modeling Outline FLOOPS / FLOODS Introduction Progress on GaN Devices Prospects for Reliability

More information

Low Contact Resistance on p-sige Junctions with B / Ga Implants and Nanosecond Laser Anneal

Low Contact Resistance on p-sige Junctions with B / Ga Implants and Nanosecond Laser Anneal Low Contact Resistance on p-sige Junctions with B / Ga Implants and Nanosecond Laser Anneal Fareen Adeni Khaja Technical Product Marketing, Front End Products Transistor and Interconnect Group Applied

More information

Emerging Applications for High K Materials in VLSI Technology

Emerging Applications for High K Materials in VLSI Technology Materials 2014, 7, 2913-2944; doi:10.3390/ma7042913 Review OPEN ACCESS materials ISSN 1996-1944 www.mdpi.com/journal/materials Emerging Applications for High K Materials in VLSI Technology Robert D. Clark

More information

Lecture 4: CMOS Transistor Theory

Lecture 4: CMOS Transistor Theory Introduction to CMOS VLSI Design Lecture 4: CMOS Transistor Theory David Harris, Harvey Mudd College Kartik Mohanram and Steven Levitan University of Pittsburgh Outline q Introduction q MOS Capacitor q

More information

Electronics with 2D Crystals: Scaling extender, or harbinger of new functions?

Electronics with 2D Crystals: Scaling extender, or harbinger of new functions? Electronics with 2D Crystals: Scaling extender, or harbinger of new functions? 1 st Workshop on Data Abundant Systems Technology Stanford, April 2014 Debdeep Jena (djena@nd.edu) Electrical Engineering,

More information

Device Models (PN Diode, MOSFET )

Device Models (PN Diode, MOSFET ) Device Models (PN Diode, MOSFET ) Instructor: Steven P. Levitan steve@ece.pitt.edu TA: Gayatri Mehta, José Martínez Book: Digital Integrated Circuits: A Design Perspective; Jan Rabaey Lab Notes: Handed

More information

Low Frequency Noise in MoS 2 Negative Capacitance Field-effect Transistor

Low Frequency Noise in MoS 2 Negative Capacitance Field-effect Transistor Low Frequency Noise in MoS Negative Capacitance Field-effect Transistor Sami Alghamdi, Mengwei Si, Lingming Yang, and Peide D. Ye* School of Electrical and Computer Engineering Purdue University West Lafayette,

More information

Faculty Presentation: Novel Technologies

Faculty Presentation: Novel Technologies 2009 IMPACT Workshop Faculty Presentation: Novel Technologies Chenming Hu, EECS Department, UC Berkeley Tsu-Jae King Liu, EECS Department, UC Berkeley Eugene Haller, MS&E Department, UC Berkeley Nathan

More information

Homework 2 due on Wednesday Quiz #2 on Wednesday Midterm project report due next Week (4 pages)

Homework 2 due on Wednesday Quiz #2 on Wednesday Midterm project report due next Week (4 pages) EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 12: SRAM Design ECC Timing Announcements Homework 2 due on Wednesday Quiz #2 on Wednesday Midterm project report due next Week (4 pages)

More information

High Mobility Channel Impact On Device Performance High mobility materials (advantages) High Mobility - Low Leakage

High Mobility Channel Impact On Device Performance High mobility materials (advantages) High Mobility - Low Leakage High Mobility Channel Impact On Device Performance High mobility materials (advantages) High mobility materials (disadvantages) energy Low m* transport Increasing µ brings us closer to the ballistic limit

More information

A Universal Memory Model for Design Exploration. Ketul Sutaria, Chi-Chao Wang, Yu (Kevin) Cao School of ECEE, ASU

A Universal Memory Model for Design Exploration. Ketul Sutaria, Chi-Chao Wang, Yu (Kevin) Cao School of ECEE, ASU A Universal Memory Model for Design Exploration Ketul Sutaria, Chi-Chao Wang, Yu (Kevin) Cao School of ECEE, ASU Universal Memory Modeling because there is no universal memory device! Modeling needs in

More information

Journal of Electron Devices, Vol. 18, 2013, pp JED [ISSN: ]

Journal of Electron Devices, Vol. 18, 2013, pp JED [ISSN: ] DrainCurrent-Id in linearscale(a/um) Id in logscale Journal of Electron Devices, Vol. 18, 2013, pp. 1582-1586 JED [ISSN: 1682-3427 ] SUITABILITY OF HIGH-k GATE DIELECTRICS ON THE DEVICE PERFORMANCE AND

More information

High Dielectric Constant (k) Materials

High Dielectric Constant (k) Materials Part 6: High Dielectric Constant (k), Gate Electrode, & Channel Materials O 2 gate ide is approaching physical limits Thickness & Current M O S poly-crystalline V Source W Source Contact Insulator n +

More information

Tri-Gate Fully-Depleted CMOS Transistors: Fabrication, Design and Layout

Tri-Gate Fully-Depleted CMOS Transistors: Fabrication, Design and Layout Tri-Gate Fully-Depleted CMOS Transistors: Fabrication, Design and Layout B.Doyle, J.Kavalieros, T. Linton, R.Rios B.Boyanov, S.Datta, M. Doczy, S.Hareland, B. Jin, R.Chau Logic Technology Development Intel

More information

SEMICONDUCTOR MEMORIES

SEMICONDUCTOR MEMORIES SEMICONDUCTOR MEMORIES Semiconductor Memory Classification RWM NVRWM ROM Random Access Non-Random Access EPROM E 2 PROM Mask-Programmed Programmable (PROM) SRAM FIFO FLASH DRAM LIFO Shift Register CAM

More information

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen Lecture 150 Basic IC Processes (10/10/01) Page 1501 LECTURE 150 BASIC IC PROCESSES (READING: TextSec. 2.2) INTRODUCTION Objective The objective of this presentation is: 1.) Introduce the fabrication of

More information

ECE 497 JS Lecture - 12 Device Technologies

ECE 497 JS Lecture - 12 Device Technologies ECE 497 JS Lecture - 12 Device Technologies Spring 2004 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jose@emlab.uiuc.edu 1 NMOS Transistor 2 ρ Source channel charge density

More information

The Critical Role of Quantum Capacitance in Compact Modeling of Nano-Scaled and Nanoelectronic Devices

The Critical Role of Quantum Capacitance in Compact Modeling of Nano-Scaled and Nanoelectronic Devices The Critical Role of Quantum Capacitance in Compact Modeling of Nano-Scaled and Nanoelectronic Devices Zhiping Yu and Jinyu Zhang Institute of Microelectronics Tsinghua University, Beijing, China yuzhip@tsinghua.edu.cn

More information

EEC 118 Lecture #2: MOSFET Structure and Basic Operation. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #2: MOSFET Structure and Basic Operation. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 118 Lecture #2: MOSFET Structure and Basic Operation Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Announcements Lab 1 this week, report due next week Bring

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

Nanometer Transistors and Their Models. Jan M. Rabaey

Nanometer Transistors and Their Models. Jan M. Rabaey Nanometer Transistors and Their Models Jan M. Rabaey Chapter Outline Nanometer transistor behavior and models Sub-threshold currents and leakage Variability Device and technology innovations Nanometer

More information

Physics an performance of III-V nanowire heterojunction TFETs including phonon and impurity band tails:

Physics an performance of III-V nanowire heterojunction TFETs including phonon and impurity band tails: Physics an performance of III-V nanowire heterojunction TFETs including phonon and impurity band tails: An atomistic mode space NEGF quantum transport study. A. Afzalian TSMC, Leuven, Belgium (Invited)

More information

EE143 LAB. Professor N Cheung, U.C. Berkeley

EE143 LAB. Professor N Cheung, U.C. Berkeley EE143 LAB 1 1 EE143 Equipment in Cory 218 2 Guidelines for Process Integration * A sequence of Additive and Subtractive steps with lateral patterning Processing Steps Si wafer Watch out for materials compatibility

More information

How a single defect can affect silicon nano-devices. Ted Thorbeck

How a single defect can affect silicon nano-devices. Ted Thorbeck How a single defect can affect silicon nano-devices Ted Thorbeck tedt@nist.gov The Big Idea As MOS-FETs continue to shrink, single atomic scale defects are beginning to affect device performance Gate Source

More information

Nanoscale CMOS Design Issues

Nanoscale CMOS Design Issues Nanoscale CMOS Design Issues Jaydeep P. Kulkarni Assistant Professor, ECE Department The University of Texas at Austin jaydeep@austin.utexas.edu Fall, 2017, VLSI-1 Class Transistor I-V Review Agenda Non-ideal

More information

Lecture 25. Semiconductor Memories. Issues in Memory

Lecture 25. Semiconductor Memories. Issues in Memory Lecture 25 Semiconductor Memories Issues in Memory Memory Classification Memory Architectures TheMemoryCore Periphery 1 Semiconductor Memory Classification RWM NVRWM ROM Random Access Non-Random Access

More information

ALD high-k and higher-k integration on GaAs

ALD high-k and higher-k integration on GaAs ALD high-k and higher-k integration on GaAs Ozhan Koybasi 1), Min Xu 1), Yiqun Liu 2), Jun-Jieh Wang 2), Roy G. Gordon 2), and Peide D. Ye 1)* 1) School of Electrical and Computer Engineering, Purdue University,

More information

Electrical and Reliability Characteristics of RRAM for Cross-point Memory Applications. Hyunsang Hwang

Electrical and Reliability Characteristics of RRAM for Cross-point Memory Applications. Hyunsang Hwang Electrical and Reliability Characteristics of RRAM for Cross-point Memory Applications Hyunsang Hwang Dept. of Materials Science and Engineering Gwangju Institute of Science and Technology (GIST), KOREA

More information

Device Models (PN Diode, MOSFET )

Device Models (PN Diode, MOSFET ) Device Models (PN Diode, MOSFET ) Instructor: Steven P. Levitan steve@ece.pitt.edu TA: Gayatri Mehta, José Martínez Book: Digital Integrated Circuits: A Design Perspective; Jan Rabaey Lab Notes: Handed

More information

The Devices. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

The Devices. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic The Devices July 30, 2002 Goal of this chapter Present intuitive understanding of device operation Introduction

More information

Transmission Electron Microscopy for metrology and characterization of semiconductor devices

Transmission Electron Microscopy for metrology and characterization of semiconductor devices Transmission Electron Microscopy for metrology and characterization of semiconductor devices Bert Freitag, Laurens Kwakman, Ivan Lazic and Frank de Jong FEI / ThermoFisher Scientific, Achtseweg Noord 5,

More information

TCAD Modeling of Stress Impact on Performance and Reliability

TCAD Modeling of Stress Impact on Performance and Reliability TCAD Modeling of Stress Impact on Performance and Reliability Xiaopeng Xu TCAD R&D, Synopsys March 16, 2010 SEMATECH Workshop on Stress Management for 3D ICs using Through Silicon Vias 1 Outline Introduction

More information

Lecture 5: CMOS Transistor Theory

Lecture 5: CMOS Transistor Theory Lecture 5: CMOS Transistor Theory Slides courtesy of Deming Chen Slides based on the initial set from David Harris CMOS VLSI Design Outline q q q q q q q Introduction MOS Capacitor nmos I-V Characteristics

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 24, 2017 MOS Transistor Theory, MOS Model Penn ESE 570 Spring 2017 Khanna Lecture Outline! Semiconductor Physics " Band gaps "

More information

Chapter 8 Ion Implantation

Chapter 8 Ion Implantation Chapter 8 Ion Implantation 2006/5/23 1 Wafer Process Flow Materials IC Fab Metalization CMP Dielectric deposition Test Wafers Masks Thermal Processes Implant PR strip Etch PR strip Packaging Photolithography

More information

+ V gate M O. Trend: As k, E g. Part 6: High Dielectric Constant (k), Gate Electrode, & Channel Materials. Bandgap versus Dielectric Constant (k) k k

+ V gate M O. Trend: As k, E g. Part 6: High Dielectric Constant (k), Gate Electrode, & Channel Materials. Bandgap versus Dielectric Constant (k) k k Part 6: High Dielectric Constant (k), Gate Electrode, & Channel Materials O 2 gate oxide is approaching physical limits Thickness & Current M O S poly-crystalline V Source W Source Contact Insulator n

More information

Carbon Nanotube Electronics

Carbon Nanotube Electronics Carbon Nanotube Electronics Jeorg Appenzeller, Phaedon Avouris, Vincent Derycke, Stefan Heinz, Richard Martel, Marko Radosavljevic, Jerry Tersoff, Shalom Wind H.-S. Philip Wong hspwong@us.ibm.com IBM T.J.

More information

Future trends in radiation hard electronics

Future trends in radiation hard electronics Future trends in radiation hard electronics F. Faccio CERN, Geneva, Switzerland Outline Radiation effects in CMOS technologies Deep submicron CMOS for radiation environments What is the future going to

More information

S No. Questions Bloom s Taxonomy Level UNIT-I

S No. Questions Bloom s Taxonomy Level UNIT-I GROUP-A (SHORT ANSWER QUESTIONS) S No. Questions Bloom s UNIT-I 1 Define oxidation & Classify different types of oxidation Remember 1 2 Explain about Ion implantation Understand 1 3 Describe lithography

More information

MOS Transistor Theory

MOS Transistor Theory CHAPTER 3 MOS Transistor Theory Outline 2 1. Introduction 2. Ideal I-V Characteristics 3. Nonideal I-V Effects 4. C-V Characteristics 5. DC Transfer Characteristics 6. Switch-level RC Delay Models MOS

More information