Chapter 8 Ion Implantation

Size: px
Start display at page:

Download "Chapter 8 Ion Implantation"

Transcription

1 Chapter 8 Ion Implantation 2006/5/23 1 Wafer Process Flow Materials IC Fab Metalization CMP Dielectric deposition Test Wafers Masks Thermal Processes Implant PR strip Etch PR strip Packaging Photolithography Final Test Design 2006/5/23 2 1

2 Introduction Semiconductor doping in wells and S/D Two major ways to dope Diffusion Ion implantation Other application of ion implantation 2006/5/23 3 Semiconductor Doping: Diffusion An isotropic process Can t independently control dopant profile and dopant concentration Replaced by ion implantation after its introduction in mid-1970s. 2006/5/23 4 2

3 Performed in high temperature furnace Using silicon dioxide as hard mask Still used for dopant drive-in, low-end product applications Current R&D on ultra shallow junction formation. 2006/5/23 5 Dopant Oxide Deposition (or Pre-deposition) Deposited Dopant Oxide SiO 2 Si Substrate 2006/5/23 6 3

4 Oxidation SiO 2 Si Substrate 2006/5/23 7 Drive-in SiO 2 Doped junction Si Substrate 2006/5/23 8 4

5 Strip and Clean SiO 2 Si Substrate Doped junction 2006/5/23 9 Semiconductor Doping : Ion Implantation First used for atomic and nuclear research Early idea introduced in 1950 s Introduced to semiconductor manufacturing in mid-1970s. Independently control dopant profile (ion energy) and dopant concentration (ion current times implantation time) 2006/5/

6 Anisotropic dopant profile Easy to achieve high concentration dope of heavy dopant atom such as phosphorus and arsenic. 2006/5/23 11 Misalignment of the Gate Metal Gate Gate Oxide Metal Gate n-si p + S/D n-si p + S/D Aligned Misaligned 2006/5/

7 Ion Implantation, Phosphorus SiO 2 Poly Si P + n + n + P-type Silicon 2006/5/23 13 Comparison of Implantation and Diffusion Doped region SiO 2 PR Si Si Diffusion Junction depth Ion implantation 2006/5/

8 Comparison of Implantation and Diffusion Diffusion Unable to achieve high dopant concentrations High temperature, hard mask Isotropic dopant profile Cannot independently control of the dopant concentration and junction depth Batch process Ion Implantation Wide range of dopant dose (10 11 ~10 17 atoms/cm 2 ) Low temperature, photoresist mask Anisotropic dopant profile Can independently control of the dopant concentration and junction depth Both Batch and single wafer process 2006/5/23 15 Ion Implantation Control Beam current and implantation time control dopant concentration Ion energy controls junction depth Dopant profile is anisotropic 2006/5/

9 Other Applications Oxygen implantation for silicon-oninsulator (SOI) device Pre-amorphous silicon implantation on titanium film for better annealing Pre-amorphous germanium implantation on silicon substrate for profile control Polysilicon doping poly barrier formation. Silicide on top of polysilicon shorts p-n junction of the silicon and forms local interconnection 2006/5/23 17 Stopping Mechanism Ions penetrate into substrate Collide with lattice atoms Gradually lose their energy and stop Ion Random Collisions (S=S n +S e ) Channeling (S S e ) Back Scattering (S S n ) 2006/5/

10 Two Stopping Mechanism Nuclear stopping Collision with nuclei of the lattice atoms Scattered significantly Causes crystal structure damage. electronic stopping Collision with electrons of the lattice atoms Incident ion path is almost unchanged Energy transfer is very small Crystal structure damage is negligible 2006/5/23 19 Stopping Mechanism The total stopping power S total = S n + S e S n : nuclear stopping, S e : electronic stopping Low E, high A ion implantation: mainly nuclear stopping High E, low A ion implantation, electronic stopping mechanism is more important 2006/5/

11 Stopping Power and Ion Velocity I II III Stopping Power Nuclear Stopping Electronic Stopping Ion Velocity 2006/5/23 21 Ion Trajectory and Projected Range Vacuum Ion Beam Substrate Ion Trajectory Collision Projected Range Distance to the Surface 2006/5/

12 Ion Projection Range ln (Concentration) Projected Range Substrate Surface Depth from the Surface 2006/5/ Projected Range in Silicon Projected Range ( m) B As P Sb Implantation Energy (kev) 2006/5/

13 Barrier Thickness to Block 200 kev Ion Beam 1.20 Mask Thickness (micron) B P As Sb Si SiO 2 Si 3 N 4 Al PR 2006/5/23 25 Implantation Processes: Channeling If the incident angle is right, ion can travel long distance without collision with lattice atoms It causes uncontrollable dopant profile, a tail Lots of collisions Very few collisions 2006/5/

14 Channeling Effect Lattice Atoms Channeling Ion Collisional Ion Wafer Surface 2006/5/23 27 Post-collision Channeling Collisional Channeling Collisional Wafer Surface 2006/5/

15 Post-collision Channeling Collisional Channeling Collisional Dopant Concentration Distance from surface 2006/5/23 29 Implantation Processes: Channeling Ways to avoid channeling effect Tilt wafer, 7 is most commonly used Screen oxide Pre-amorphous implantation Shadowing effect Ion blocked by structures Rotate wafer and post-implantation diffusion 2006/5/

16 Shadowing Effect Ion Beam Polysilicon Substrate Doped Region Shadowed Region 2006/5/23 31 Shadowing Effect After Annealing and Diffusion Polysilicon Substrate Doped Region 2006/5/

17 Damage Process Implanted ions transfer energy to lattice atoms Atoms to break free Freed atoms collide with other lattice atoms Free more lattice atoms Damage continues until all freed atoms stop One energetic ion can cause thousands of displacements of lattice atoms 2006/5/23 33 Lattice Damage With One Ion Light Ion Damaged Region Heavy Ion Single Crystal Silicon 2006/5/

18 Implantation Processes: Damage Ion collides with lattice atoms and knock them out of lattice grid Implant area on substrate becomes amorphous structure Before Implantation After Implantation 2006/5/23 35 Implantation Processes: Anneal Dopant atom must in single crystal structure and bond with four silicon atoms to be activated as donor (N-type) or acceptor (P-type) Thermal energy from high temperature helps amorphous atoms to recover single crystal structure. 2006/5/

19 Thermal Annealing Lattice Atoms Dopant Atom 2006/5/23 37 Thermal Annealing Lattice Atoms Dopant Atom 2006/5/

20 Thermal Annealing Lattice Atoms Dopant Atom 2006/5/23 39 Thermal Annealing Lattice Atoms Dopant Atom 2006/5/

21 Thermal Annealing Lattice Atoms Dopant Atom 2006/5/23 41 Thermal Annealing Lattice Atoms Dopant Atom 2006/5/

22 Thermal Annealing Lattice Atoms Dopant Atom 2006/5/23 43 Thermal Annealing Lattice Atoms Dopant Atoms 2006/5/

23 Implantation Processes: Annealing Before Annealing After Annealing 2006/5/23 45 Rapid Thermal Annealing (RTA) At high temperature, annealing out pace diffusion Rapid thermal process (RTP) is widely used for post-implantation anneal RTA is fast (less than a minute), better WTW uniformity, better thermal budget control, and minimized the dopant diffusion 2006/5/

24 RTP and Furnace Annealing Poly Si Gate Gate SiO 2 Poly Si Si Source/Drain Si RTP Annealing Furnace Annealing 2006/5/23 47 Ion Implantation: Hardware Gas system Electrical system Vacuum system Ion beamline 2006/5/

25 Ion Implanter Gas Cabin Electrical System Analyzer Magnet Ion Source Vacuum Pump Beam Line Electrical System Plasma Flooding System Vacuum Pump Wafers End Analyzer 2006/5/23 49 Ion Implantation: Beamline Ion source Extraction electrode Analyzer magnet Post acceleration Plasma flooding system End analyzer 2006/5/

26 Ion Beam Line Suppression Electrode Analyzer Magnet Ion Source Vacuum Pump Beam Line Extraction Electrode Post Acceleration Electrode Plasma Flooding System Vacuum Pump Wafers End Analyzer 2006/5/23 51 Ion implanter: Ion Source Hot tungsten filament emits thermal electron Electrons collide with source gas molecules to dissociate and ionize Ions are extracted out of source chamber and accelerated to the beamline RF and microwave power can also be used to ionize source gas 2006/5/

27 Ion Source Filament Power, 0-5V, up to 200A Arc Power ~ 120 V - + Source Gas or Vapor Tungsten Filament Plasma Magnetic Field Line Anti-cathode Source Magnet 2006/5/23 53 RF Ion Source Dopant Gas + - RF Plasma RF Coils Ion Beam Extraction Electrode 2006/5/

28 Microwave Ion Source Microwave Magnetic Coils ECR Plasma Magnetic Field Line Extraction Electrode 2006/5/23 55 Ion Implantation: Extraction Extraction electrode accelerates ions up to 50 kev High energy is required for analyzer magnet to select right ion species. 2006/5/

29 Extraction Assembly Suppression Electrode Ion Source Plasma Extraction Electrode Top View Ion Beam + Extraction Power, up to 60 kv Suppression Power, up to 10 kv + Terminal Chassis Slit Extracting Ion Beam 2006/5/23 57 Ion Implantation: Analyzer Magnet Gyro radius of charge particle in magnetic field relate with B-field and mass/charge ratio Used for isotope separation to get enriched U 235 Only ions with right mass/charge ratio can go through the slit Purified the implanting ion beam 2006/5/

30 Analyzer Magnetic Field (Point Outward) Ion Beam Larger m/q Ratio Flight Tube Smaller m/q Ratio Right m/q Ratio 2006/5/23 59 Ions in BF 3 Plasma Ions Atomic or molecule weight 10 B B BF BF 30 F BF BF /5/

31 Ion Implantation: Post Acceleration Increasing (sometimes decreasing) ion energy for ion to reach the required junction depth determined by the device Electrodes with high DC voltage Adjustable vertical vanes control beam current 2006/5/23 61 Ion Implantation: Plasma Flooding System Ions cause wafer charging Wafer charging can cause non-uniform doping and arcing defects Elections are flooding into ion beam and neutralized the charge on the wafer Argon plasma generated by thermal electrons emit from hot tungsten filament 2006/5/

32 Post Acceleration Suppression Electrode Acceleration Electrode Ion Beam Terminal Chassis Suppression Power, up to 10 kv + Post Accel. Power, up to 60 kv /5/23 63 Ion Beam Current Control Fixed Defining Aperture Ion Beam Adjustable Vertical Vanes 2006/5/

33 Bending Ion Trajectory Neutral Atom Trajectory Bias Electrode Ion Trajectory Wafer 2006/5/23 65 Charge Neutralization System Implanted ions charge wafer positively Cause wafer charging effect Expel positive ion, cause beam blowup and result non-uniform dopant distribution Discharge arcing create defects on wafer Breakdown gate oxide, low yield Need eliminate or minimize charging effect 2006/5/

34 Charging Effect Ions trajectory Wafer /5/23 67 Charge Neutralization System Need to provide electrons to neutralize ions Plasma flooding system Electron gun Electron shower are used to 2006/5/

35 Plasma Flooding System Filament Current DC Power + Tungsten Filament Plasma Ar Ion Beam Electrons Wafer 2006/5/23 69 Electron Gun Secondary Electron Target Electrons Secondary Electrons Ion Beam Electron Gun Thermal Filament Wafer 2006/5/

36 Beam Stop Graphite Top View Ion Beam Magnets Water Cooled Base Plate Faraday Current Detectors 2006/5/23 71 Ion Implantation: The Process CMOS applications CMOS ion implantation requirements Implantation process evaluations 2006/5/

37 CMOS Implantation Requirements Implant Step 0.35 m, 64 Mb 0.25 m, 256 Mb 0.18 m, 1 Gb N-well Well P/600/ P/400/ P/300/ Anti-punch through P/100/ As/100/ As/50/ Threshold B/10/ B/5/ B/2/ Poly dope P/30/ B/20/ B/20/ Poly diffusion block - - N 2 /20/ Lightly doped drain (LDD) B/7/ B/5/ B/2/ Halo (45 implant) - - As/30/ Source/drain contact B/10/ B/7/ B/6/ P-well Well B/225/ B/200/ B/175/ Anti-punch through B/30/ B/50/ B/45/ Threshold B/10/ B/5/ B/2/ Poly dope P/30/ P/20/ As/40/ Poly diffusion block - - N 2 /20/ Lightly doped drain (LDD) P/20/ P/12/ P/5/ Halo (45 implant) B/30/ B/20/ B/7/ Source/drain contact As/30/ As/20/ As/15/ /5/23 73 Implantation Process: Well Implantation High energy (to MeV), low current (10 13 /cm 2 ) P + Photoresist N-Well P-Epi P-Wafer 2006/5/

38 Implantation Process: V T Adjust Implantation Low Energy, Low Current STI Photoresist USG P-Well P-Epi P-Wafer B + N-Well 2006/5/23 75 Lightly Doped Drain (LDD) Implantation Low energy (10 kev), low current (10 13 /cm 2 ) P + STI Photoresist USG P-Well N-Well P-Epi P-Wafer 2006/5/

39 Implantation Process: S/D Implantation Low energy (20 kev), high current (>10 15 /cm 2 ) P + Photoresist STI n + n + P-Well USG P-Epi P-Wafer N-Well 2006/5/23 77 Ion Implantation Processes Ion Implantation Energy Current Well High energy low current Source/Drain Low energy high current V T Adjust Low energy low current LDD Low energy low current 2006/5/

40 Process Issues Wafer charging Particle contamination Elemental contamination Process evaluation 2006/5/23 79 Wafer Charging Break down gate oxide Dielectric strength of SiO 2 : ~10 MV/cm 100 Å oxide breakdown voltage is 10 V Gate oxide: 30 to 35 Å for 0.18 m device Require better charge neutralization 2006/5/

41 Wafer Charging Monitoring Antenna capacitor changing test structure The ratio of polysilicon pad area and thin oxide area is called antenna ratio Can be as high as 100,000:1 The larger antenna ratio, the easier to breakdown the thin gate oxide 2006/5/23 81 Antenna Ratio Top View Side View Polysilicon Field Oxide Silicon Substrate Gate Oxide 2006/5/

42 Particle Contamination Large particles can block the ion beam especially for the low energy processes, V T adjust, LDD and S/D implantations, Cause incomplete dopant junction. Harmful to yield 2006/5/23 83 Effect of Particle Contamination Ion Beam Dopant in PR Particle Photoresist Screen Oxide Partially Implanted Junctions 2006/5/

43 Elemental Contamination Co-implantation other elements with intended dopant 94 Mo ++ and 11 BF 2 +, same mass/charge ratio (A/e = 49) Mass analyzer can t separate these two 94 Mo ++ causes heavy metal contamination Ion source can t use standard stainless steel Other materials such as graphite and tantalum are normally used 2006/5/23 85 Process Evaluation Four-point probe Thermal wave Optical measurement system (OMS) 2006/5/

44 Thermal Wave System Argon pump laser generates thermal pulses on wafer surface He-Ne probe laser measures DC reflectivity (R) and reflectivity modulation induced by the pump laser ( R) at the same spot Ratio R/R is called thermal wave (TW) signal, TW signal R/R related to the crystal damage crystal damage is a function of the implant dose 2006/5/23 87 Thermal Wave System I R R Thermal Waver Signal Detector Pump Laser t I Probe Laser t R/R: Thermal Wave Signal Wafer 2006/5/

45 Thermal Wave System Performed immediately after the implant process Four-point probe needs anneal first Non-destructive, can measure production wafers Four-point probe is only good for test wafers Low sensitivity at low dosage Drift of the TW signal over time needs to be taken as soon as the implantation finished Don t have very high measurement accuracy Laser heating relax crystal damage 2006/5/23 89 Ion Implantation: Safety One of most hazardous process tools in semiconductor industry Chemical Electro-magnetic Mechanical 2006/5/

46 Ion Implantation: Chemical Safety Most dopant materials are highly toxic, flammable and explosive. Poisonous and explosive: AsH 3, PH 3, B 2 H 6 Corrosive: BF 3 Toxic: P, B, As, Sb Common sense: get out first, let the trained people to do the investigation. 2006/5/23 91 Ion Implantation: Electro-magnetic Safety High voltage: from facility 208 V to acceleration electrode up to 50 kv. Ground strip, Work with buddy! Lock & tag Magnetic field: pacemaker, etc. 2006/5/

47 Ion Implantation: Radiation Safety High energy ions cause strong X-ray radiation Normally well shield 2006/5/23 93 Ion Implantation: Corrosive by-products BF 3 as dopant gas Fluorine will react with hydrogen to from HF Anything in the beamline could have HF Double glove needed while wet clean those parts 2006/5/

48 Ion Implantation: Mechanical Safety Moving parts, doors, valves and robots Spin wheel Hot surface 2006/5/23 95 Technology Trends Ultra shallow junction (USJ) Silicon on insulator (SOI) Plasma immersion ion implantation (PIII) 2006/5/

49 Ultra Shallow Junction (USJ) USJ (x j 0.05 m) for sub-0.1 m devices p-type junction, boron ion beam at extremely low energy, as low as 0.2 kev The requirements for the USJ Shallow Low sheet resistance Low contact resistance Minimal impact on channel profile Compatible with polysilicon gate 2006/5/23 97 CMOS on SOI Substrate n + source/drain Gate oxide Polysilicon p + source/drain p-si STI Buried oxide Balk Si n-si USG 2006/5/

50 SOI Formation Implanted wafers Heavy oxygen ion implantation High temperature annealing Bonded wafers Two wafers Grow oxide on one wafer High temperature bond wafer bonding Polish one wafer until thousand Å away from SiO /5/23 99 Oxygen Ion Implantation Silicon with lattice damage Oxygen rich silicon Balk Si 2006/5/

51 High Temperature Annealing Single crystal silicon Silicon dioxide Balk Si 2006/5/ Plasma Immersion Ion Implantation Deep trench capacitor for DRAM Deeper and narrower Very difficult to heavily dope both sidewall and bottom by ion implantation Plasma immersion ion implantation (PIII) An ion implantation process without precise ion species and ion energy selection 2006/5/

52 Deep Trench Capacitor Polysilicon Heavily doped Si Dielectric Layer Silicon Substrate 2006/5/ ECR Plasma Immersion System Microwave Magnet Coils Magnetic field line E-chuck Helium Bias RF ECR plasma Wafer 2006/5/

53 Summary of Ion Implantation Dope semiconductor Better doping method than diffusion Easy to control junction depth (by ion energy) and dopant concentration ( by ion current and implantation time). Anisotropic dopant profile. 2006/5/ Summary of Ion Implantation Ion source Extraction Analyzer magnets Post acceleration Charge neutralization system Beam stop 2006/5/

54 Summary of Ion Implantation Well Source/Drain Vt Adjust LDD High energy, low current Low energy, high current Low energy, low current Low energy, low current 2006/5/

Chapter 8 Ion Implantation

Chapter 8 Ion Implantation Chapter 8 Ion Implantation Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three commonly

More information

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages:

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages: Ion Implantation alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages: mass separation allows wide varies of dopants dose control: diffusion

More information

Ion Implant Part 1. Saroj Kumar Patra, TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU )

Ion Implant Part 1. Saroj Kumar Patra, TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU ) 1 Ion Implant Part 1 Chapter 17: Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2014 Saroj Kumar Patra,, Norwegian University of Science and Technology ( NTNU ) 2 Objectives

More information

Ion Implantation ECE723

Ion Implantation ECE723 Ion Implantation Topic covered: Process and Advantages of Ion Implantation Ion Distribution and Removal of Lattice Damage Simulation of Ion Implantation Range of Implanted Ions Ion Implantation is the

More information

Chapter 3 Basics Semiconductor Devices and Processing

Chapter 3 Basics Semiconductor Devices and Processing Chapter 3 Basics Semiconductor Devices and Processing Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives Identify at least two

More information

Secondary ion mass spectrometry (SIMS)

Secondary ion mass spectrometry (SIMS) Secondary ion mass spectrometry (SIMS) ELEC-L3211 Postgraduate Course in Micro and Nanosciences Department of Micro and Nanosciences Personal motivation and experience on SIMS Offers the possibility to

More information

Chapter 9 Ion Implantation

Chapter 9 Ion Implantation Chapter 9 Ion Implantation Professor Paul K. Chu Ion Implantation Ion implantation is a low-temperature technique for the introduction of impurities (dopants) into semiconductors and offers more flexibility

More information

Chapter 7 Plasma Basic

Chapter 7 Plasma Basic Chapter 7 Plasma Basic Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three IC processes

More information

Processing of Semiconducting Materials Prof. Pallab Banerji Department of Metallurgy and Material Science Indian Institute of Technology, Kharagpur

Processing of Semiconducting Materials Prof. Pallab Banerji Department of Metallurgy and Material Science Indian Institute of Technology, Kharagpur Processing of Semiconducting Materials Prof. Pallab Banerji Department of Metallurgy and Material Science Indian Institute of Technology, Kharagpur Lecture - 9 Diffusion and Ion Implantation III In my

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

Chapter 7. Plasma Basics

Chapter 7. Plasma Basics Chapter 7 Plasma Basics 2006/4/12 1 Objectives List at least three IC processes using plasma Name three important collisions in plasma Describe mean free path Explain how plasma enhance etch and CVD processes

More information

Device Fabrication: Etch

Device Fabrication: Etch Device Fabrication: Etch 1 Objectives Upon finishing this course, you should able to: Familiar with etch terminology Compare wet and dry etch processes processing and list the main dry etch etchants Become

More information

Changing the Dopant Concentration. Diffusion Doping Ion Implantation

Changing the Dopant Concentration. Diffusion Doping Ion Implantation Changing the Dopant Concentration Diffusion Doping Ion Implantation Step 11 The photoresist is removed with solvent leaving a ridge of polysilicon (the transistor's gate), which rises above the silicon

More information

IC Fabrication Technology

IC Fabrication Technology IC Fabrication Technology * History: 1958-59: J. Kilby, Texas Instruments and R. Noyce, Fairchild * Key Idea: batch fabrication of electronic circuits n entire circuit, say 10 7 transistors and 5 levels

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction q Integrated circuits: many transistors on one chip q Very Large Scale Integration (VLSI): bucketloads! q Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Xing Sheng, 微纳光电子材料与器件工艺原理. Doping 掺杂. Xing Sheng 盛兴. Department of Electronic Engineering Tsinghua University

Xing Sheng, 微纳光电子材料与器件工艺原理. Doping 掺杂. Xing Sheng 盛兴. Department of Electronic Engineering Tsinghua University 微纳光电子材料与器件工艺原理 Doping 掺杂 Xing Sheng 盛兴 Department of Electronic Engineering Tsinghua University xingsheng@tsinghua.edu.cn 1 Semiconductor PN Junctions Xing Sheng, EE@Tsinghua LEDs lasers detectors solar

More information

Gaetano L Episcopo. Scanning Electron Microscopy Focus Ion Beam and. Pulsed Plasma Deposition

Gaetano L Episcopo. Scanning Electron Microscopy Focus Ion Beam and. Pulsed Plasma Deposition Gaetano L Episcopo Scanning Electron Microscopy Focus Ion Beam and Pulsed Plasma Deposition Hystorical background Scientific discoveries 1897: J. Thomson discovers the electron. 1924: L. de Broglie propose

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

Lecture 5. Ion Implantation. Reading: Chapter 5

Lecture 5. Ion Implantation. Reading: Chapter 5 Lecture 5 Ion Implantation Reading: Chapter 5 Shockley patented the concept of Ion Implantation for semiconductor doping in 956 ( years after Pfann patented the diffusion concept). First commercial implanters

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

Ion implantation Campbell, Chapter 5

Ion implantation Campbell, Chapter 5 Ion implantation Campbell, Chapter 5 background why ion implant? elastic collisions nuclear and electronic stopping ion ranges: projected and lateral channeling ion-induced damage and amorphization basic

More information

EE-612: Lecture 22: CMOS Process Steps

EE-612: Lecture 22: CMOS Process Steps EE-612: Lecture 22: CMOS Process Steps Mark Lundstrom Electrical and Computer Engineering Purdue University West Lafayette, IN USA Fall 2006 NCN www.nanohub.org Lundstrom EE-612 F06 1 outline 1) Unit Process

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

MOSFET: Introduction

MOSFET: Introduction E&CE 437 Integrated VLSI Systems MOS Transistor 1 of 30 MOSFET: Introduction Metal oxide semiconductor field effect transistor (MOSFET) or MOS is widely used for implementing digital designs Its major

More information

EE 212 FALL ION IMPLANTATION - Chapter 8 Basic Concepts

EE 212 FALL ION IMPLANTATION - Chapter 8 Basic Concepts EE 212 FALL 1999-00 ION IMPLANTATION - Chapter 8 Basic Concepts Ion implantation is the dominant method of doping used today. In spite of creating enormous lattice damage it is favored because: Large range

More information

Introduction to Photolithography

Introduction to Photolithography http://www.ichaus.de/news/72 Introduction to Photolithography Photolithography The following slides present an outline of the process by which integrated circuits are made, of which photolithography is

More information

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing EE115C Winter 2017 Digital Electronic Circuits Lecture 3: MOS RC Model, CMOS Manufacturing Agenda MOS Transistor: RC Model (pp. 104-113) S R on D CMOS Manufacturing Process (pp. 36-46) S S C GS G G C GD

More information

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition Metal Deposition Filament Evaporation E-beam Evaporation Sputter Deposition 1 Filament evaporation metals are raised to their melting point by resistive heating under vacuum metal pellets are placed on

More information

CHAPTER 6: Etching. Chapter 6 1

CHAPTER 6: Etching. Chapter 6 1 Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching

More information

Chapter 9, Etch. Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm

Chapter 9, Etch. Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm Chapter 9, Etch Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives Upon finishing this course, you should

More information

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey.

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE 143 Professor Ali Javey Spring 2009 Exam 2 Name: SID: Closed book. One sheet of notes is allowed.

More information

Auger Electron Spectroscopy (AES)

Auger Electron Spectroscopy (AES) 1. Introduction Auger Electron Spectroscopy (AES) Silvia Natividad, Gabriel Gonzalez and Arena Holguin Auger Electron Spectroscopy (Auger spectroscopy or AES) was developed in the late 1960's, deriving

More information

Lecture 12 Ion Implantation

Lecture 12 Ion Implantation Lecture 12 Ion Implantation Chapter 9 Wolf and Tauber 1/98 Announcements Homework: Homework 3 is due at the start of class on Thursday (Nov 9 th ). Will be returned one week from Thursday (16 th Nov).

More information

Lecture 8. Detectors for Ionizing Particles

Lecture 8. Detectors for Ionizing Particles Lecture 8 Detectors for Ionizing Particles Content Introduction Overview of detector systems Sources of radiation Radioactive decay Cosmic Radiation Accelerators Interaction of Radiation with Matter General

More information

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 Bob O'Handley Martin Schmidt Quiz Nov. 17, 2004 Ion implantation, diffusion [15] 1. a) Two identical p-type Si wafers (N a = 10 17 cm

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Ajay Kumar Gautam Asst. Prof. Electronics & Communication Engineering Dev Bhoomi Institute of Technology & Engineering Dehradun UNIT II

Ajay Kumar Gautam Asst. Prof. Electronics & Communication Engineering Dev Bhoomi Institute of Technology & Engineering Dehradun UNIT II Ajay Kumar Gautam Asst. Prof. Electronics & Communication Engineering Dev Bhoomi Institute of Technology & Engineering Dehradun UNIT II Syllabus EPITAXIAL PROCESS: Epitaxy and its concept, Growth kinetics

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen Lecture 150 Basic IC Processes (10/10/01) Page 1501 LECTURE 150 BASIC IC PROCESSES (READING: TextSec. 2.2) INTRODUCTION Objective The objective of this presentation is: 1.) Introduce the fabrication of

More information

Semiconductor Detectors

Semiconductor Detectors Semiconductor Detectors Summary of Last Lecture Band structure in Solids: Conduction band Conduction band thermal conductivity: E g > 5 ev Valence band Insulator Charge carrier in conductor: e - Charge

More information

Regents of the University of California

Regents of the University of California Deep Reactive-Ion Etching (DRIE) DRIE Issues: Etch Rate Variance The Bosch process: Inductively-coupled plasma Etch Rate: 1.5-4 μm/min Two main cycles in the etch: Etch cycle (5-15 s): SF 6 (SF x+ ) etches

More information

EE143 LAB. Professor N Cheung, U.C. Berkeley

EE143 LAB. Professor N Cheung, U.C. Berkeley EE143 LAB 1 1 EE143 Equipment in Cory 218 2 Guidelines for Process Integration * A sequence of Additive and Subtractive steps with lateral patterning Processing Steps Si wafer Watch out for materials compatibility

More information

Wet and Dry Etching. Theory

Wet and Dry Etching. Theory Wet and Dry Etching Theory 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

Evaluation of plasma strip induced substrate damage Keping Han 1, S. Luo 1, O. Escorcia 1, Carlo Waldfried 1 and Ivan Berry 1, a

Evaluation of plasma strip induced substrate damage Keping Han 1, S. Luo 1, O. Escorcia 1, Carlo Waldfried 1 and Ivan Berry 1, a Solid State Phenomena Vols. 14-146 (29) pp 249-22 Online available since 29/Jan/6 at www.scientific.net (29) Trans Tech Publications, Switzerland doi:.428/www.scientific.net/ssp.14-146.249 Evaluation of

More information

Fast Monte-Carlo Simulation of Ion Implantation. Binary Collision Approximation Implementation within ATHENA

Fast Monte-Carlo Simulation of Ion Implantation. Binary Collision Approximation Implementation within ATHENA Fast Monte-Carlo Simulation of Ion Implantation Binary Collision Approximation Implementation within ATHENA Contents Simulation Challenges for Future Technologies Monte-Carlo Concepts and Models Atomic

More information

ION IMPLANTATION - Chapter 8 Basic Concepts

ION IMPLANTATION - Chapter 8 Basic Concepts ION IMPLANTATION - Chapter 8 Basic Concepts Ion implantation is the dominant method of doping used today. In spite of creating enormous lattice damage it is favored because: Large range of doses - 1 11

More information

Chapter 3 Engineering Science for Microsystems Design and Fabrication

Chapter 3 Engineering Science for Microsystems Design and Fabrication Lectures on MEMS and MICROSYSTEMS DESIGN and MANUFACTURE Chapter 3 Engineering Science for Microsystems Design and Fabrication In this Chapter, we will present overviews of the principles of physical and

More information

Energetic particles and their detection in situ (particle detectors) Part II. George Gloeckler

Energetic particles and their detection in situ (particle detectors) Part II. George Gloeckler Energetic particles and their detection in situ (particle detectors) Part II George Gloeckler University of Michigan, Ann Arbor, MI University of Maryland, College Park, MD Simple particle detectors Gas-filled

More information

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 20 Ion-implantation systems and damages during implantation So, in our discussion

More information

Introduction to Plasma

Introduction to Plasma What is a plasma? The fourth state of matter A partially ionized gas How is a plasma created? Energy must be added to a gas in the form of: Heat: Temperatures must be in excess of 4000 O C Radiation Electric

More information

Secondary Ion Mass Spectroscopy (SIMS)

Secondary Ion Mass Spectroscopy (SIMS) Secondary Ion Mass Spectroscopy (SIMS) Analyzing Inorganic Solids * = under special conditions ** = semiconductors only + = limited number of elements or groups Analyzing Organic Solids * = under special

More information

Film Deposition Part 1

Film Deposition Part 1 1 Film Deposition Part 1 Chapter 11 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2013 Saroj Kumar Patra Semidonductor Manufacturing Technology, Norwegian University of

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 12: Mechanics

More information

MS482 Materials Characterization ( 재료분석 ) Lecture Note 5: RBS

MS482 Materials Characterization ( 재료분석 ) Lecture Note 5: RBS 2016 Fall Semester MS482 Materials Characterization ( 재료분석 ) Lecture Note 5: RBS Byungha Shin Dept. of MSE, KAIST 1 Course Information Syllabus 1. Overview of various characterization techniques (1 lecture)

More information

Section 12: Intro to Devices

Section 12: Intro to Devices Section 12: Intro to Devices Extensive reading materials on reserve, including Robert F. Pierret, Semiconductor Device Fundamentals Bond Model of Electrons and Holes Si Si Si Si Si Si Si Si Si Silicon

More information

Asymmetrical heating behavior of doped Si channels in bulk silicon and in silicon-on-insulator under high current stress

Asymmetrical heating behavior of doped Si channels in bulk silicon and in silicon-on-insulator under high current stress JOURNAL OF APPLIED PHYSICS VOLUME 86, NUMBER 12 15 DECEMBER 1999 Asymmetrical heating behavior of doped Si channels in bulk silicon and in silicon-on-insulator under high current stress C. N. Liao, a)

More information

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

Lecture 22 Ion Beam Techniques

Lecture 22 Ion Beam Techniques Lecture 22 Ion Beam Techniques Schroder: Chapter 11.3 1/44 Announcements Homework 6/6: Will be online on later today. Due Wednesday June 6th at 10:00am. I will return it at the final exam (14 th June).

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

Steam-Injected SPM Process for All-Wet Stripping of Implanted Photoresist

Steam-Injected SPM Process for All-Wet Stripping of Implanted Photoresist 1/18 Steam-Injected SPM Process for All-Wet Stripping of Implanted Photoresist Jeffery W. Butterbaugh 7 FSI International, 3455 Lyman Blvd., Chaska, MN 55318 USA jeff.butterbaugh@fsi-intl.com Outline 2/18

More information

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Dry Etching Zheng Yang ERF 3017,   MW 5:15-6:00 pm Dry Etching Zheng Yang ERF 3017, email: yangzhen@uic.edu, MW 5:15-6:00 pm Page 1 Page 2 Dry Etching Why dry etching? - WE is limited to pattern sizes above 3mm - WE is isotropic causing underetching -

More information

Chapter 2. Design and Fabrication of VLSI Devices

Chapter 2. Design and Fabrication of VLSI Devices Chapter 2 Design and Fabrication of VLSI Devices Jason Cong 1 Design and Fabrication of VLSI Devices Objectives: To study the materials used in fabrication of VLSI devices. To study the structure of devices

More information

MS482 Materials Characterization ( 재료분석 ) Lecture Note 5: RBS. Byungha Shin Dept. of MSE, KAIST

MS482 Materials Characterization ( 재료분석 ) Lecture Note 5: RBS. Byungha Shin Dept. of MSE, KAIST 2015 Fall Semester MS482 Materials Characterization ( 재료분석 ) Lecture Note 5: RBS Byungha Shin Dept. of MSE, KAIST 1 Course Information Syllabus 1. Overview of various characterization techniques (1 lecture)

More information

EE 5211 Analog Integrated Circuit Design. Hua Tang Fall 2012

EE 5211 Analog Integrated Circuit Design. Hua Tang Fall 2012 EE 5211 Analog Integrated Circuit Design Hua Tang Fall 2012 Today s topic: 1. Introduction to Analog IC 2. IC Manufacturing (Chapter 2) Introduction What is Integrated Circuit (IC) vs discrete circuits?

More information

Make sure the exam paper has 9 pages (including cover page) + 3 pages of data for reference

Make sure the exam paper has 9 pages (including cover page) + 3 pages of data for reference UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Spring 2006 EE143 Midterm Exam #1 Family Name First name SID Signature Make sure the exam paper

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

Huashun Zhang. Ion Sources. With 187 Figures and 26 Tables Э SCIENCE PRESS. Springer

Huashun Zhang. Ion Sources. With 187 Figures and 26 Tables Э SCIENCE PRESS. Springer Huashun Zhang Ion Sources With 187 Figures and 26 Tables Э SCIENCE PRESS Springer XI Contents 1 INTRODUCTION 1 1.1 Major Applications and Requirements 1 1.2 Performances and Research Subjects 1 1.3 Historical

More information

Robert A. Meger Richard F. Fernster Martin Lampe W. M. Manheimer NOTICE

Robert A. Meger Richard F. Fernster Martin Lampe W. M. Manheimer NOTICE Serial Number Filing Date Inventor 917.963 27 August 1997 Robert A. Meger Richard F. Fernster Martin Lampe W. M. Manheimer NOTICE The above identified patent application is available for licensing. Requests

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 23, 2018 MOS Transistor Theory, MOS Model Penn ESE 570 Spring 2018 Khanna Lecture Outline! CMOS Process Enhancements! Semiconductor

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf by S. Wolf Chapter 15 ALUMINUM THIN-FILMS and SPUTTER-DEPOSITION 2004 by LATTICE PRESS CHAPTER 15 - CONTENTS Aluminum Thin-Films Sputter-Deposition Process Steps Physics of Sputter-Deposition Magnetron-Sputtering

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

Manufacturable AlGaAs/GaAs HBT Implant Isolation Process Using Doubly Charged Helium

Manufacturable AlGaAs/GaAs HBT Implant Isolation Process Using Doubly Charged Helium Manufacturable AlGaAs/GaAs HBT Implant Isolation Process Using Doubly Charged Helium ABSTRACT Rainier Lee, Shiban Tiku, and Wanming Sun Conexant Systems 2427 W. Hillcrest Drive Newbury Park, CA 91320 (805)

More information

Lecture 6. Rapid Thermal Processing. Reading: Chapter 6

Lecture 6. Rapid Thermal Processing. Reading: Chapter 6 Lecture 6 Rapid Thermal Processing Reading: Chapter 6 (Chapter 6) Categories: Rapid Thermal Anneal (RTA) Rapid Thermal Oxidation (RTO) Rapid Thermal Nitridation (RTN) (and oxynitrides) Rapid Thermal Diffusion

More information

Dynamization evolution of Dry Etch Tools in Semiconductor Device Fabrication Gordon Cameron Intel Corp (November 2005)

Dynamization evolution of Dry Etch Tools in Semiconductor Device Fabrication Gordon Cameron Intel Corp (November 2005) Dynamization evolution of Dry Etch Tools in Semiconductor Device Fabrication Gordon Cameron Intel Corp (November 2005) Abstract Engineering Systems follow recognized trends of evolution; the main parameters

More information

A. Burenkov, P. Pichler, J. Lorenz, Y. Spiegel, J. Duchaine, F. Torregrosa

A. Burenkov, P. Pichler, J. Lorenz, Y. Spiegel, J. Duchaine, F. Torregrosa Simulation of Plasma Immersion Ion Implantation A. Burenkov, P. Pichler, J. Lorenz, Y. Spiegel, J. Duchaine, F. Torregrosa 2011 International Conference on Simulation of Semiconductor Processes and Devices

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 11: Bulk

More information

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory Plasma Processing in the Microelectronics Industry Bert Ellingboe Plasma Research Laboratory Outline What has changed in the last 12 years? What is the relavant plasma physics? Sheath formation Sheath

More information

Thin Film Transistors (TFT)

Thin Film Transistors (TFT) Thin Film Transistors (TFT) a-si TFT - α-si:h (Hydrogenated amorphous Si) deposited with a PECVD system (low temp. process) replaces the single crystal Si substrate. - Inverted staggered structure with

More information

DIFFUSION - Chapter 7

DIFFUSION - Chapter 7 DIFFUSION - Chapter 7 Doping profiles determine many short-channel characteristics in MOS devices. Resistance impacts drive current. Scaling implies all lateral and vertical dimensions scale by the same

More information

nmos IC Design Report Module: EEE 112

nmos IC Design Report Module: EEE 112 nmos IC Design Report Author: 1302509 Zhao Ruimin Module: EEE 112 Lecturer: Date: Dr.Zhao Ce Zhou June/5/2015 Abstract This lab intended to train the experimental skills of the layout designing of the

More information

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications D. Tsoukalas, S. Kolliopoulou, P. Dimitrakis, P. Normand Institute of Microelectronics, NCSR Demokritos, Athens, Greece S. Paul,

More information

Section 12: Intro to Devices

Section 12: Intro to Devices Section 12: Intro to Devices Extensive reading materials on reserve, including Robert F. Pierret, Semiconductor Device Fundamentals EE143 Ali Javey Bond Model of Electrons and Holes Si Si Si Si Si Si Si

More information

Secondary Ion Mass Spectrometry (SIMS) Thomas Sky

Secondary Ion Mass Spectrometry (SIMS) Thomas Sky 1 Secondary Ion Mass Spectrometry (SIMS) Thomas Sky Depth (µm) 2 Characterization of solar cells 0,0 1E16 1E17 1E18 1E19 1E20 0,2 0,4 0,6 0,8 1,0 1,2 P Concentration (cm -3 ) Characterization Optimization

More information

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25) 1 TMT4320 Nanomaterials November 10 th, 2015 Thin films by physical/chemical methods (From chapter 24 and 25) 2 Thin films by physical/chemical methods Vapor-phase growth (compared to liquid-phase growth)

More information

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography 1 Reference 1. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (2001) 2. - (2004) 3. Semiconductor Physics and Devices-

More information

LECTURE 3 MOSFETS II. MOS SCALING What is Scaling?

LECTURE 3 MOSFETS II. MOS SCALING What is Scaling? LECTURE 3 MOSFETS II Lecture 3 Goals* * Understand constant field and constant voltage scaling and their effects. Understand small geometry effects for MOS transistors and their implications modeling and

More information

Modelling for Formation of Source/Drain Region by Ion Implantation and Diffusion Process for MOSFET Device

Modelling for Formation of Source/Drain Region by Ion Implantation and Diffusion Process for MOSFET Device Modelling for Formation of Source/Drain Region by Ion Implantation and Diffusion Process for MOSFET Device 1 Supratim Subhra Das 2 Ria Das 1,2 Assistant Professor, Mallabhum Institute of Technology, Bankura,

More information

A semiconductor is an almost insulating material, in which by contamination (doping) positive or negative charge carriers can be introduced.

A semiconductor is an almost insulating material, in which by contamination (doping) positive or negative charge carriers can be introduced. Semiconductor A semiconductor is an almost insulating material, in which by contamination (doping) positive or negative charge carriers can be introduced. Page 2 Semiconductor materials Page 3 Energy levels

More information

EE410 vs. Advanced CMOS Structures

EE410 vs. Advanced CMOS Structures EE410 vs. Advanced CMOS Structures Prof. Krishna S Department of Electrical Engineering S 1 EE410 CMOS Structure P + poly-si N + poly-si Al/Si alloy LPCVD PSG P + P + N + N + PMOS N-substrate NMOS P-well

More information

PHI 5000 Versaprobe-II Focus X-ray Photo-electron Spectroscopy

PHI 5000 Versaprobe-II Focus X-ray Photo-electron Spectroscopy PHI 5000 Versaprobe-II Focus X-ray Photo-electron Spectroscopy The very basic theory of XPS XPS theroy Surface Analysis Ultra High Vacuum (UHV) XPS Theory XPS = X-ray Photo-electron Spectroscopy X-ray

More information

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Lec 6: September 18, 2017 MOS Model You are Here: Transistor Edition! Previously: simple models (0 and 1 st order) " Comfortable

More information

The Devices: MOS Transistors

The Devices: MOS Transistors The Devices: MOS Transistors References: Semiconductor Device Fundamentals, R. F. Pierret, Addison-Wesley Digital Integrated Circuits: A Design Perspective, J. Rabaey et.al. Prentice Hall NMOS Transistor

More information

Semiconductor-Detectors

Semiconductor-Detectors Semiconductor-Detectors 1 Motivation ~ 195: Discovery that pn-- junctions can be used to detect particles. Semiconductor detectors used for energy measurements ( Germanium) Since ~ 3 years: Semiconductor

More information

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 24 Tai-Chang Chen University of Washington EDP ETCHING OF SILICON - 1 Ethylene Diamine Pyrocatechol Anisotropy: (100):(111) ~ 35:1 EDP is very corrosive, very carcinogenic,

More information