Multiple Gate CMOS and Beyond

Size: px
Start display at page:

Download "Multiple Gate CMOS and Beyond"

Transcription

1 Multiple CMOS and Beyond Dept. of EECS, KAIST Yang-Kyu Choi

2 Outline 1. Ultimate Scaling of MOSFETs - 3nm Nanowire FET - 8nm Non-Volatile Memory Device 2. Multiple Functions of MOSFETs 3. Summary 2

3 CMOS Evolution Scenario S G D S G D Fin Fin Fin Buried Oxide Bulk-single gate SOI single gate Double gate Ω-gate All-around No report for Sub-50nm L G =4nm NEC IEDM 2003 L G =8nm IBM IEDM 2003 L G =10nm AMD/Berkeley IEDM 2003 L G =10nm LETI VLSI 2005 This Work 3

4 ITRS Roadmap L G (nm) EOT Bulk SG (nm) DG I sd,leak (µa/µm) I d,sat (ma/mm) Bulk SG DG Bulk SG DG Solution exist Solution being pursued No known solution Source: ITRS 2005 roadmap

5 All-Around (AAG) FinFET Source AAG-FinFET L G =3nm W Fin =3nm EOT(HfO 2 )=1.2nm Drain To fabricate sub-5nm silicon transistor, All-Around (AAG) FinFET was proposed. 5

6 Process Flow of AAG-FinFET (100) SOI wafer Silicon body thinning Fin patterning (dual-resist) Sacrificial oxidation dielectric (HfO 2 ) Poly-silicon deposition patterning (dual-resist) Spacer formation Source/Drain implantation Spike annealing (1000 o C) Forming gas annealing (450 o C) 6

7 3nm AAG FinFET: Silicon-Fin Silicon fin 20nm HfO 2 Source S Poly-si 3nm Drain Buried oxide 5nm 3nm 5nm 7 Y.-K. Choi et al., VLSI, 2006

8 3nm AAG FinFET: 5nm Poly-si Source 5nm Poly-si HfO 2 IFO 5nm Si Poly-si 3nm Drain HfO 2 IFO Silicon fin 5nm Si 8

9 I-V of 3nm AAG FinFET Drain Current [A/µm] 10-3 Simulated Measured V D =1.0V V D =0.2V DIBL=230mV/V SS=208 mv/dec 60 HfO 2 =1.4nm 40 L G =3nm 20 W Fin =3nm Voltage [V] Transconductance [µs/µm] Drain Current [µa/µm] HfO 2 =1.4nm L G =3nm W Fin =3nm 0.6V 0.4V V G =0.2V Drain Voltage [V] Large DIBL and SS due to thick EOT ITRS requirement: 0.5nm EOT for DG 9

10 Fundamental Limit of Scaling Device scaling limit (Operating at 300K) Heisenberg s uncertainty principle Shannon - von Neumann - Landauer (SNL) expression SCALING LIMIT x min h = = p = 1.5nm h 2m E c bit = ( T = 300K) 2m k c h B T ln Fabricated 3nm all-around gate FinFET is approaching to this fundamental limit. 10

11 Nanowire Structure Silicon nanowire non-volatile memory structure for ultimate scaling Poly-silicon Blocking oxide Nitride trap layer Tunneling oxide Si Buried Oxide 8nm Non-Volatile Memory Omega-shape gate ONO-structure 11

12 8nm Si Nanowire NVM Poly-silicon N O O S e - S Silicon Nanowire (7/10nm) trap sites BOX Tunneling oxide Nitride trap layer Blocking oxide 10nm Poly-silicon 12 Y.-K. Choi et al., VLSI, 2007

13 8nm Si Nanowire NVM 7/10nm 3.8nm 6.4nm 5.1nm Oxide Nitride Silicon T ONO =15nm > L G =8nm 8nm 5.1nm 6.4nm 3.8nm 13

14 Drain Current [A/µm] 8nm Silicon Nanowire NVM with ONO 1x10-4 1x ONO=3.8/6.4/5.1nm t Program =80µsec Initial 8V 10V V PG =12V L G =8nm W NW =7nm H NW =10.5nm Voltage [V] Drain Current [A/µm] 1x10-4 1x V ER =-12V 8nm L G with 7nm W NW using ONO-structure ONO=3.8/6.4/5.1nm t Erase =80µsec - Acceptable electrical performance by omega-gate -10-8V Initial L G =8nm W NW =7nm H NW =10.5nm Voltage [V] - Wide hysteresis shows the probability of multi-level NVM 14

15 8nm Si Nanowire Memory V T [V] ONO=3.8/6.4/5.1nm L G =8nm W NW =7nm V PG =12V V PG =10V V PG =8V Program Time [sec] V T [V] L G =8nm W NW =7nm H NW =10nm -10V V ER =-8V 0.5 V 0.0 ER =-12V Erase Time [sec] 8nm NVM: V T window = 2V +12V/1msec, 15

16 Scaling Limit in NVM Ultimately scaled NVM Nanowire + SONOS T O/N/O =15nm > L g =8nm Y.-K. Choi et. al., VLSI 2007 Scaling Limit! Close to end-point of semiconductor memory 16

17 Where to Go? Multi- Functioning This Work! Down Scaling Multi-Bit We are here 17

18 Example of Fusion Memory (System in Package) DRAM NVM SRAM. Increased volume Complexity in assembly Chip-to-chip interference 18 Wafer level fusion!!

19 Principal of SONOS Flash Memory S control oxide nitride tunnel oxide D S Substrate Substrate < program > < erase > D Drain current 1 0 S D Substrate Substrate < read 0 > < read 1 > S D voltage Features of flash High density Non-volatile Speed 19

20 Principal of Capacitorless DRAM S Buried oxide Substrate < program > D S D Buried oxide Substrate < erase > S Buried oxide Substrate < read 1 > Drain current D S 1 0 Time Buried oxide Substrate < read 0 > D Source : IEEE spectrum Dec Features of 1T-DRAM High speed 2x denser than 1T/1C DRAM 5x denser than SRAM Volatile 20

21 Basis of URAM Operation (1) voltage capacitorless 1T-DRAM erase flash program capacitorless 1T-DRAM program Drain voltage flash erase Flash Memory Mode - Program : FN tunneling/hei - Erase : FN tunneling/hhi (High gate & drain voltage) Capacitorless 1T-DRAM Mode - Program : Impact ionization - Erase : Forward junction current (Low gate & drain voltage) Disturbance Issue - Periodical refresh 21

22 Multi-Function (URAM) Operation Flash Memory electron DRAM hole Oxide Nitride Oxide Source Drain 드레인 Quantum Barrier 22

23 Family of URAM S. Okonin et.al., SOI conf., 2001 R. Ranica et.al., VLSI symp.,

24 Process Flow (1) SOI Substrate Si Buried oxide Si substrate Initial SOI substrate SON Substrate SOC Substrate Si Si:C Si substrate Initial bulk substrate Si:C epitaxial growth Si epitaxial growth SOG Substrate Si n-well Si substrate Initial bulk substrate Buried n-well implantation p-body implantation 24 Si Si:Ge Si substrate Initial bulk substrate Si:Ge epitaxial growth Si epitaxial growth

25 Process Flow (2) fin Hole barrier layer Si substrate Puntch stop implantation Active fin lithography Photoresist trimming Fin patterning fin SiO 2 SiO 2 Hole barrier layer Si substrate HDP oxide deposition Oxide CMP Oxide recess formation 25

26 Process Flow (3) fin SiO 2 SiO 2 Hole barrier layer Si substrate fin SiO 2 SiO 2 Hole barrier layer Si substrate O/N/O formation Poly-Si deposition patterning S/D implantation Activation Forming gas annealing 26

27 URAM on SOI 27

28 Cross sectional view of URAMs URAM on SOI URAM on SiC URAM on Buried N-well URAM On SiGe 28

29 I D -V G Characteristics 10-5 V D = 1V Drain current, V D (A) I D SOI SOC SOG SON voltage, V G (V) Superior device performances are result of the 3D nature. 29

30 I D -V D Characteristics (Kink) SOI SOC SON SOG 30

31 P/E in NVM Program Erase P/E sensing windows are acceptable. 31

32 Reliability in NVM Retention Endurance Data retention and endurance are acceptable. 32

33 Capacitorless 1T-DRAM in SOI FD URAM PD URAM V 0.7V 0.6V Source current, I S (µa) I s =20µΑ I s =7µΑ SOI URAM 20 80msec 10 Conventional FinFET SONOS Time, t (msec) < Potential Profile > < Measurement Results > Proposed SOI URAM exhibits wider sensing current window. Y.-K. Choi et. al., IEDM 2007

34 Capacitorless 1T-DRAM in SON bulk MOSFET Y.-K. Choi et. al., VLSI 2008 SON URAM Sensing current, I S (µa) V SUB =0.5V V SUB =0.3V I S =7µA I 8 S =4µA V SUB =0V Time, t (msec) < Excess Hole Concentration > < Measurement Results > Capacitorless 1T-DRAM works in SON floating substrate. 34

35 Capacitorless 1T-DRAM at SOC SON URAM SOC URAM 28 Y.-K. Choi et. al., VLSI 2008 Source current, I S (µa) V SUB =0.3V V SUB =0V I S =7µA I S =11µA Time, t (msec) < Excess Hole Concentration > < Measurement Results > Capacitorless 1T-DRAM works in SOC floating substrate. 35

36 Summary IT only NT only NT IT 3nm MOSFET and 8nm non-volatile memory device were demonstrated. Close to scaling limit. Multi-function URAM was demonstrated. Continuously increased density 36

37 Built-in Potential for Buried n-well SIMS profile of the buried n-well Simulation Result of Energy Band 0.9 ev Built-in potential confines excess holes. 37

38 Band-Offset for Si:C & Si:Ge (2) 1.0 C-V for Band Engineered Substrate Energy Band Diagram Normalized capacitance Si/Si 0.94 C 0.06 /Si E V =-180 mev Si/Si 0.7 Ge 0.3 /Si E V = 200 mev voltage, V G (V) Double slopes appear in weak accumulation region. 38

39 Scaling Issue (Why capacitorless DRAM?) µ-processor Cache memory SRAM (32F 2 ) Cache portion 50% in 2006 Capacitorless DRAM is a promising candidate for embedded memory % in % in 2011 Is this memory or µ-processor? Cell capacitance in DRAM > 25fF (non-scalable) Cell area (~8F 2 ) is continuously reduced.

40 Soft-Program Issue voltage capacitorless 1T-DRAM erase flash program capacitorless 1T-DRAM program Drain voltage Disturbance Issue - Periodical refresh 1T-DRAM mode Initialization : Set V T of all cells to 0.2V by adjustment of trapped charges Capacitorless 1T-DRAM operation refresh yes Verification V T =0.2V? no 40

41 GIDL Program Method Bias (V) Source current, I S (µa) voltage Drain voltage Impact ionization 100 nsec 100 nsec 12µA Time, t (µsec) < 1T-DRAM Measurement > Threshold voltage, V T (V) GIDL Impact ionization program V G =1, V D =2V GIDL program V G =-2V, V D =2V Stress time, t (sec) < Soft-program test > GIDL program method mitigates soft-programming. [J.-W. Han et. al., EDL, Apr. 2009]

42 G-to-S/D Underlap Structure S Buried oxide Substrate D 50nm G-to-S/D Overlap junction S Buried oxide Substrate D S Buried oxide D G-to-S/D Underlap [J.-W. Han et. al., EDL, May 2009] 42

43 G-to-S/D Underlap Structure Bias (V) Source current, I S (µa/µm) V G V D 50 nsec G-to-S/D underlap 8 µa G-to-S/D overlap 50 nsec 11 µa Time, t (msec) < 1T-DRAM Measurement > Threshold voltage, V T (V) Stress bais : V G =1V, V D =2.5V G-to-S/D overlap G-to-S/D underlap Stress time, t (sec) < Soft-program test > G-to-S/D underlap structure mitigates soft-programming. [J.-W. Han et. al., EDL, May 2009] 43

44 S S Issue and Solution of G-to-S/D Underlap Device Structure Buried oxide Substrate SiO 2 Spacer Buried oxide Substrate SiO 2 D High-k D Source current (µa/µm) underlap with HfO 2 spacer I S,HfO2 =55µA 20 0 underlap with I S,SiO2 =20µA -20 SiO 2 spacer read hold erase hold read Time (nsec) 44 overlap with SiO 2 spacer I S =11µA High-k Spacer < Simulation Results > High-k spacer countervails the parasitic series resistance of the G-to-S/D underlap. [J.-W. Han et. al., EDL, May 2009]

N ano scale l S il ii lco i n B ased N o nvo lat l i atl ie l M em ory r Chungwoo Kim, Ph.D.

N ano scale l S il ii lco i n B ased N o nvo lat l i atl ie l M em ory r Chungwoo Kim, Ph.D. cw_kim@samsung.com Acknowledgements Collaboration Funding Outline Introduction Current research status Nano fabrication Process Nanoscale patterning SiN thin film Si Nanoparticle Nano devices Nanoscale

More information

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room).

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). The Final Exam will take place from 12:30PM to 3:30PM on Saturday May 12 in 60 Evans.» All of

More information

Recent Development of FinFET Technology for CMOS Logic and Memory

Recent Development of FinFET Technology for CMOS Logic and Memory Recent Development of FinFET Technology for CMOS Logic and Memory Chung-Hsun Lin EECS Department University of California at Berkeley Why FinFET Outline FinFET process Unique features of FinFET Mobility,

More information

Enhanced Mobility CMOS

Enhanced Mobility CMOS Enhanced Mobility CMOS Judy L. Hoyt I. Åberg, C. Ni Chléirigh, O. Olubuyide, J. Jung, S. Yu, E.A. Fitzgerald, and D.A. Antoniadis Microsystems Technology Laboratory MIT, Cambridge, MA 02139 Acknowledge

More information

Chapter 3 Basics Semiconductor Devices and Processing

Chapter 3 Basics Semiconductor Devices and Processing Chapter 3 Basics Semiconductor Devices and Processing Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives Identify at least two

More information

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance 1 Seminar Spacer Lithography for Reduced Variability in MOSFET Performance Prof. Tsu-Jae King Liu Electrical Engineering & Computer Sciences Dept. University of California at Berkeley Graduate Student:

More information

Scaling Issues in Planar FET: Dual Gate FET and FinFETs

Scaling Issues in Planar FET: Dual Gate FET and FinFETs Scaling Issues in Planar FET: Dual Gate FET and FinFETs Lecture 12 Dr. Amr Bayoumi Fall 2014 Advanced Devices (EC760) Arab Academy for Science and Technology - Cairo 1 Outline Scaling Issues for Planar

More information

Journal of Electron Devices, Vol. 18, 2013, pp JED [ISSN: ]

Journal of Electron Devices, Vol. 18, 2013, pp JED [ISSN: ] DrainCurrent-Id in linearscale(a/um) Id in logscale Journal of Electron Devices, Vol. 18, 2013, pp. 1582-1586 JED [ISSN: 1682-3427 ] SUITABILITY OF HIGH-k GATE DIELECTRICS ON THE DEVICE PERFORMANCE AND

More information

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications D. Tsoukalas, S. Kolliopoulou, P. Dimitrakis, P. Normand Institute of Microelectronics, NCSR Demokritos, Athens, Greece S. Paul,

More information

A Multi-Gate CMOS Compact Model BSIMMG

A Multi-Gate CMOS Compact Model BSIMMG A Multi-Gate CMOS Compact Model BSIMMG Darsen Lu, Sriramkumar Venugopalan, Tanvir Morshed, Yogesh Singh Chauhan, Chung-Hsun Lin, Mohan Dunga, Ali Niknejad and Chenming Hu University of California, Berkeley

More information

EE410 vs. Advanced CMOS Structures

EE410 vs. Advanced CMOS Structures EE410 vs. Advanced CMOS Structures Prof. Krishna S Department of Electrical Engineering S 1 EE410 CMOS Structure P + poly-si N + poly-si Al/Si alloy LPCVD PSG P + P + N + N + PMOS N-substrate NMOS P-well

More information

Nanometer Transistors and Their Models. Jan M. Rabaey

Nanometer Transistors and Their Models. Jan M. Rabaey Nanometer Transistors and Their Models Jan M. Rabaey Chapter Outline Nanometer transistor behavior and models Sub-threshold currents and leakage Variability Device and technology innovations Nanometer

More information

How a single defect can affect silicon nano-devices. Ted Thorbeck

How a single defect can affect silicon nano-devices. Ted Thorbeck How a single defect can affect silicon nano-devices Ted Thorbeck tedt@nist.gov The Big Idea As MOS-FETs continue to shrink, single atomic scale defects are beginning to affect device performance Gate Source

More information

Faculty Presentation: Novel Technologies

Faculty Presentation: Novel Technologies 2009 IMPACT Workshop Faculty Presentation: Novel Technologies Chenming Hu, EECS Department, UC Berkeley Tsu-Jae King Liu, EECS Department, UC Berkeley Eugene Haller, MS&E Department, UC Berkeley Nathan

More information

Components Research, TMG Intel Corporation *QinetiQ. Contact:

Components Research, TMG Intel Corporation *QinetiQ. Contact: 1 High-Performance 4nm Gate Length InSb P-Channel Compressively Strained Quantum Well Field Effect Transistors for Low-Power (V CC =.5V) Logic Applications M. Radosavljevic,, T. Ashley*, A. Andreev*, S.

More information

Imaginary Band Structure and Its Role in Calculating Transmission Probability in Semiconductors

Imaginary Band Structure and Its Role in Calculating Transmission Probability in Semiconductors Imaginary Band Structure and Its Role in Calculating Transmission Probability in Semiconductors Jamie Teherani Collaborators: Paul Solomon (IBM), Mathieu Luisier(Purdue) Advisors: Judy Hoyt, DimitriAntoniadis

More information

CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS

CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS 98 CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS In this chapter, the effect of gate electrode work function variation on DC

More information

Suppression of Gate-Induced Drain Leakage by Optimization of Junction Profiles in 22 nm and 32 nm SOI nfets

Suppression of Gate-Induced Drain Leakage by Optimization of Junction Profiles in 22 nm and 32 nm SOI nfets Suppression of Gate-Induced Drain Leakage by Optimization of Junction Profiles in 22 nm and 32 nm SOI nfets Andreas Schenk a,, a Integrated Systems Laboratory, ETH Zurich, Gloriastrasse 35, CH-8092, Switzerland

More information

The Pennsylvania State University. Kurt J. Lesker Company. North Carolina State University. Taiwan Semiconductor Manufacturing Company 1

The Pennsylvania State University. Kurt J. Lesker Company. North Carolina State University. Taiwan Semiconductor Manufacturing Company 1 Enhancement Mode Strained (1.3%) Germanium Quantum Well FinFET (W fin =20nm) with High Mobility (μ Hole =700 cm 2 /Vs), Low EOT (~0.7nm) on Bulk Silicon Substrate A. Agrawal 1, M. Barth 1, G. B. Rayner

More information

Moores Law for DRAM. 2x increase in capacity every 18 months 2006: 4GB

Moores Law for DRAM. 2x increase in capacity every 18 months 2006: 4GB MEMORY Moores Law for DRAM 2x increase in capacity every 18 months 2006: 4GB Corollary to Moores Law Cost / chip ~ constant (packaging) Cost / bit = 2X reduction / 18 months Current (2008) ~ 1 micro-cent

More information

MOSFET: Introduction

MOSFET: Introduction E&CE 437 Integrated VLSI Systems MOS Transistor 1 of 30 MOSFET: Introduction Metal oxide semiconductor field effect transistor (MOSFET) or MOS is widely used for implementing digital designs Its major

More information

L ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling

L ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling L13 04202017 ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling Scaling laws: Generalized scaling (GS) p. 610 Design steps p.613 Nanotransistor issues (page 626) Degradation

More information

Tri-Gate Fully-Depleted CMOS Transistors: Fabrication, Design and Layout

Tri-Gate Fully-Depleted CMOS Transistors: Fabrication, Design and Layout Tri-Gate Fully-Depleted CMOS Transistors: Fabrication, Design and Layout B.Doyle, J.Kavalieros, T. Linton, R.Rios B.Boyanov, S.Datta, M. Doczy, S.Hareland, B. Jin, R.Chau Logic Technology Development Intel

More information

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing EE115C Winter 2017 Digital Electronic Circuits Lecture 3: MOS RC Model, CMOS Manufacturing Agenda MOS Transistor: RC Model (pp. 104-113) S R on D CMOS Manufacturing Process (pp. 36-46) S S C GS G G C GD

More information

CHAPTER I. Introduction. 1.1 State of the art for non-volatile memory

CHAPTER I. Introduction. 1.1 State of the art for non-volatile memory CHAPTER I Introduction 1.1 State of the art for non-volatile memory 1.1.1 Basics of non-volatile memory devices In the last twenty years, microelectronics has been strongly developed, concerning higher

More information

MSE 310/ECE 340: Electrical Properties of Materials Fall 2014 Department of Materials Science and Engineering Boise State University

MSE 310/ECE 340: Electrical Properties of Materials Fall 2014 Department of Materials Science and Engineering Boise State University MSE 310/ECE 340: Electrical Properties of Materials Fall 2014 Department of Materials Science and Engineering Boise State University Practice Final Exam 1 Read the questions carefully Label all figures

More information

Ultimately Scaled CMOS: DG FinFETs?

Ultimately Scaled CMOS: DG FinFETs? Ultimately Scaled CMOS: DG FinFETs? Jerry G. Fossum SOI Group Department of Electrical and Computer Engineering University of Florida Gainesville, FL 32611-6130 J. G. Fossum / 1 Outline Introduction -

More information

Study of Carrier Transport in Strained and Unstrained SOI Tri-gate and Omega-gate Si Nanowire MOSFETs

Study of Carrier Transport in Strained and Unstrained SOI Tri-gate and Omega-gate Si Nanowire MOSFETs 42nd ESSDERC, Bordeaux, France, 17-21 Sept. 2012 A2L-E, High Mobility Devices, 18 Sept. Study of Carrier Transport in Strained and Unstrained SOI Tri-gate and Omega-gate Si Nanowire MOSFETs M. Koyama 1,4,

More information

III-V CMOS: What have we learned from HEMTs? J. A. del Alamo, D.-H. Kim 1, T.-W. Kim, D. Jin, and D. A. Antoniadis

III-V CMOS: What have we learned from HEMTs? J. A. del Alamo, D.-H. Kim 1, T.-W. Kim, D. Jin, and D. A. Antoniadis III-V CMOS: What have we learned from HEMTs? J. A. del Alamo, D.-H. Kim 1, T.-W. Kim, D. Jin, and D. A. Antoniadis Microsystems Technology Laboratories, MIT 1 presently with Teledyne Scientific 23rd International

More information

GMU, ECE 680 Physical VLSI Design 1

GMU, ECE 680 Physical VLSI Design 1 ECE680: Physical VLSI Design Chapter VIII Semiconductor Memory (chapter 12 in textbook) 1 Chapter Overview Memory Classification Memory Architectures The Memory Core Periphery Reliability Case Studies

More information

Investigation of the Dimension Effects of Sub-30nm Multiple-Gate SOI MOSFETs by TCAD Simulation

Investigation of the Dimension Effects of Sub-30nm Multiple-Gate SOI MOSFETs by TCAD Simulation Microelectronics and olid tate Electronics 212, 1(3): 64-68 OI: 1.5923/j.msse.21224.3 Investigation of the imension Effects of ub-3nm Multiple-ate OI MOFETs by TCA imulation Keng-Ming Liu *, Yung-Yu Hsieh

More information

There s Plenty of Room at the Bottom and at the Top

There s Plenty of Room at the Bottom and at the Top 14 nm chip X SEM from www.intel.com/content/dam/www/public/us/en/documents/pdf/foundry/mark bohr 2014 idf presentation.pdf There s Plenty of Room at the Bottom and at the Top Tsu Jae King Liu Department

More information

Low Frequency Noise in MoS 2 Negative Capacitance Field-effect Transistor

Low Frequency Noise in MoS 2 Negative Capacitance Field-effect Transistor Low Frequency Noise in MoS Negative Capacitance Field-effect Transistor Sami Alghamdi, Mengwei Si, Lingming Yang, and Peide D. Ye* School of Electrical and Computer Engineering Purdue University West Lafayette,

More information

Extending the Era of Moore s Law

Extending the Era of Moore s Law 14 nm chip X SEM from www.intel.com/content/dam/www/public/us/en/documents/pdf/foundry/mark bohr 2014 idf presentation.pdf Extending the Era of Moore s Law Tsu Jae King Liu Department of Electrical Engineering

More information

The Future of CMOS. David Pulfrey. CHRONOLOGY of the FET. Lecture Lilienfeld s patent (BG FET) 1965 Commercialization (Fairchild)

The Future of CMOS. David Pulfrey. CHRONOLOGY of the FET. Lecture Lilienfeld s patent (BG FET) 1965 Commercialization (Fairchild) The Future of CMOS David Pulfrey 1 CHRONOLOGY of the FET 1933 Lilienfeld s patent (BG FET) 1965 Commercialization (Fairchild) 1991 The most abundant object made by mankind (C.T. Sah) 2003 The 10 nm FET

More information

CMPEN 411 VLSI Digital Circuits. Lecture 03: MOS Transistor

CMPEN 411 VLSI Digital Circuits. Lecture 03: MOS Transistor CMPEN 411 VLSI Digital Circuits Lecture 03: MOS Transistor Kyusun Choi [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] CMPEN 411 L03 S.1

More information

Random Access Memory. DRAM & SRAM Design DRAM SRAM MS635. Dynamic Random Access Memory. Static Random Access Memory. Cell Structure. 6 Tr.

Random Access Memory. DRAM & SRAM Design DRAM SRAM MS635. Dynamic Random Access Memory. Static Random Access Memory. Cell Structure. 6 Tr. DRAM & SRAM Design Random Access Memory Volatile memory Random access is possible if you know the address DRAM DRAM Dynamic Random Access Memory SRAM Static Random Access Memory SRAM Cell Structure Power

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 29, 2019 MOS Transistor Theory, MOS Model Penn ESE 570 Spring 2019 Khanna Lecture Outline! CMOS Process Enhancements! Semiconductor

More information

Fig The electron mobility for a-si and poly-si TFT.

Fig The electron mobility for a-si and poly-si TFT. Fig. 1-1-1 The electron mobility for a-si and poly-si TFT. Fig. 1-1-2 The aperture ratio for a-si and poly-si TFT. 33 Fig. 1-2-1 All kinds defect well. (a) is the Dirac well. (b) is the repulsive Columbic

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 10/30/2007 MOSFETs Lecture 4 Reading: Chapter 17, 19 Announcements The next HW set is due on Thursday. Midterm 2 is next week!!!! Threshold and Subthreshold

More information

ECE-305: Fall 2017 MOS Capacitors and Transistors

ECE-305: Fall 2017 MOS Capacitors and Transistors ECE-305: Fall 2017 MOS Capacitors and Transistors Pierret, Semiconductor Device Fundamentals (SDF) Chapters 15+16 (pp. 525-530, 563-599) Professor Peter Bermel Electrical and Computer Engineering Purdue

More information

Magnetic core memory (1951) cm 2 ( bit)

Magnetic core memory (1951) cm 2 ( bit) Magnetic core memory (1951) 16 16 cm 2 (128 128 bit) Semiconductor Memory Classification Read-Write Memory Non-Volatile Read-Write Memory Read-Only Memory Random Access Non-Random Access EPROM E 2 PROM

More information

High Dielectric Constant (k) Materials

High Dielectric Constant (k) Materials Part 6: High Dielectric Constant (k), Gate Electrode, & Channel Materials O 2 gate ide is approaching physical limits Thickness & Current M O S poly-crystalline V Source W Source Contact Insulator n +

More information

Comparative studies of Ge and Si p-channel metal oxide semiconductor field-effect-transistors with HfSiON dielectric and TaN metal gate

Comparative studies of Ge and Si p-channel metal oxide semiconductor field-effect-transistors with HfSiON dielectric and TaN metal gate Comparative studies of Ge and Si p-channel metal oxide semiconductor field-effect-transistors with HfSiON dielectric and TaN metal gate Hu Ai-Bin( 胡爱斌 ) and Xu Qiu-Xia( 徐秋霞 ) Institute of Microelectronics,

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 23, 2018 MOS Transistor Theory, MOS Model Penn ESE 570 Spring 2018 Khanna Lecture Outline! CMOS Process Enhancements! Semiconductor

More information

Lecture 8. Detectors for Ionizing Particles

Lecture 8. Detectors for Ionizing Particles Lecture 8 Detectors for Ionizing Particles Content Introduction Overview of detector systems Sources of radiation Radioactive decay Cosmic Radiation Accelerators Interaction of Radiation with Matter General

More information

MOSFET SCALING ECE 663

MOSFET SCALING ECE 663 MOSFET SCALING Scaling of switches Moore s Law economics Moore s Law - #DRAM Bits per chip doubles every 18 months ~5% bigger chips/wafers ~5% design improvements ~50 % Lithography ability to print smaller

More information

SOI/SOTB Compact Models

SOI/SOTB Compact Models MOS-AK 2017 An Overview of the HiSIM SOI/SOTB Compact Models Marek Mierzwinski*, Dondee Navarro**, and Mitiko Miura-Mattausch** *Keysight Technologies **Hiroshima University Agenda Introduction Model overview

More information

The PSP compact MOSFET model An update

The PSP compact MOSFET model An update The PSP compact MOSFET model An update Gert-Jan Smit, Andries Scholten, D.B.M. Klaassen NXP Semiconductors Ronald van Langevelde Philips Research Europe Gennady Gildenblat, Weimin Wu, Xin Li, Amit Jha,

More information

Future trends in radiation hard electronics

Future trends in radiation hard electronics Future trends in radiation hard electronics F. Faccio CERN, Geneva, Switzerland Outline Radiation effects in CMOS technologies Deep submicron CMOS for radiation environments What is the future going to

More information

Gate Carrier Injection and NC-Non- Volatile Memories

Gate Carrier Injection and NC-Non- Volatile Memories Gate Carrier Injection and NC-Non- Volatile Memories Jean-Pierre Leburton Department of Electrical and Computer Engineering and Beckman Institute University of Illinois at Urbana-Champaign Urbana, IL 61801,

More information

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages:

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages: Ion Implantation alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages: mass separation allows wide varies of dopants dose control: diffusion

More information

Semiconductor Memories

Semiconductor Memories Semiconductor References: Adapted from: Digital Integrated Circuits: A Design Perspective, J. Rabaey UCB Principles of CMOS VLSI Design: A Systems Perspective, 2nd Ed., N. H. E. Weste and K. Eshraghian

More information

Thin Film Transistors (TFT)

Thin Film Transistors (TFT) Thin Film Transistors (TFT) a-si TFT - α-si:h (Hydrogenated amorphous Si) deposited with a PECVD system (low temp. process) replaces the single crystal Si substrate. - Inverted staggered structure with

More information

The Devices. Devices

The Devices. Devices The The MOS Transistor Gate Oxyde Gate Source n+ Polysilicon Drain n+ Field-Oxyde (SiO 2 ) p-substrate p+ stopper Bulk Contact CROSS-SECTION of NMOS Transistor Cross-Section of CMOS Technology MOS transistors

More information

Si Nanowire FET Modeling and Technology

Si Nanowire FET Modeling and Technology Si Nanowire FET Modeling and Technology November 8, 2010 @ Peking University H. Iwai Tokyo Inst. Tech. 1 First Computer Eniac: made of huge number of vacuum tubes 1946 Big size, huge power, short life

More information

MOS Transistor Properties Review

MOS Transistor Properties Review MOS Transistor Properties Review 1 VLSI Chip Manufacturing Process Photolithography: transfer of mask patterns to the chip Diffusion or ion implantation: selective doping of Si substrate Oxidation: SiO

More information

Introduction and Background

Introduction and Background Analog CMOS Integrated Circuit Design Introduction and Background Dr. Jawdat Abu-Taha Department of Electrical and Computer Engineering Islamic University of Gaza jtaha@iugaza.edu.ps 1 Marking Assignments

More information

A 20 nm gate-length ultra-thin body p-mosfet with silicide source/drain

A 20 nm gate-length ultra-thin body p-mosfet with silicide source/drain Superlattices and Microstructures, Vol. 28, No. 5/6, 2000 doi:10.1006/spmi.2000.0947 Available online at http://www.idealibrary.com on A 20 nm gate-length ultra-thin body p-mosfet with silicide source/drain

More information

Chapter 2. Design and Fabrication of VLSI Devices

Chapter 2. Design and Fabrication of VLSI Devices Chapter 2 Design and Fabrication of VLSI Devices Jason Cong 1 Design and Fabrication of VLSI Devices Objectives: To study the materials used in fabrication of VLSI devices. To study the structure of devices

More information

ELEN0037 Microelectronic IC Design. Prof. Dr. Michael Kraft

ELEN0037 Microelectronic IC Design. Prof. Dr. Michael Kraft ELEN0037 Microelectronic IC Design Prof. Dr. Michael Kraft Lecture 2: Technological Aspects Technology Passive components Active components CMOS Process Basic Layout Scaling CMOS Technology Integrated

More information

Simulation-based Study of Super-steep Retrograde Doped Bulk FinFET Technology and 6T-SRAM Yield

Simulation-based Study of Super-steep Retrograde Doped Bulk FinFET Technology and 6T-SRAM Yield Simulation-based Study of Super-steep Retrograde Doped Bulk FinFET Technology and 6T-SRAM Yield Xi Zhang Electrical Engineering and Computer Sciences University of California at Berkeley Technical Report

More information

The Devices: MOS Transistors

The Devices: MOS Transistors The Devices: MOS Transistors References: Semiconductor Device Fundamentals, R. F. Pierret, Addison-Wesley Digital Integrated Circuits: A Design Perspective, J. Rabaey et.al. Prentice Hall NMOS Transistor

More information

Beyond Si: Opportunities and Challenges for CMOS Technology Based on High-Mobility Channel Materials T.P. Ma Yale University

Beyond Si: Opportunities and Challenges for CMOS Technology Based on High-Mobility Channel Materials T.P. Ma Yale University Beyond Si: Opportunities and Challenges for CMOS Technology Based on High-Mobility Channel Materials T.P. Ma Yale University Acknowledgments: Abigail Lubow, Xiao Sun, Shufeng Ren Switching Speed of CMOS

More information

SEMICONDUCTOR MEMORIES

SEMICONDUCTOR MEMORIES SEMICONDUCTOR MEMORIES Semiconductor Memory Classification RWM NVRWM ROM Random Access Non-Random Access EPROM E 2 PROM Mask-Programmed Programmable (PROM) SRAM FIFO FLASH DRAM LIFO Shift Register CAM

More information

Characteristics of MOSFET with Non-overlapped Source-Drain to Gate

Characteristics of MOSFET with Non-overlapped Source-Drain to Gate IEICE TRANS. ELECTRON., VOL.E85 C, NO.5 MAY 2002 1079 PAPER Special Issue on Advanced Sub-0.1 µm CMOS Devices Characteristics of MOSFET with Non-overlapped Source-Drain to Gate Hyunjin LEE a), Nonmember,

More information

! CMOS Process Enhancements. ! Semiconductor Physics. " Band gaps. " Field Effects. ! MOS Physics. " Cut-off. " Depletion.

! CMOS Process Enhancements. ! Semiconductor Physics.  Band gaps.  Field Effects. ! MOS Physics.  Cut-off.  Depletion. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 9, 019 MOS Transistor Theory, MOS Model Lecture Outline CMOS Process Enhancements Semiconductor Physics Band gaps Field Effects

More information

Electric-Field Induced F - Migration in Self-Aligned InGaAs MOSFETs and Mitigation

Electric-Field Induced F - Migration in Self-Aligned InGaAs MOSFETs and Mitigation Electric-Field Induced F - Migration in Self-Aligned InGaAs MOSFETs and Mitigation X. Cai, J. Lin, D. A. Antoniadis and J. A. del Alamo Microsystems Technology Laboratories, MIT December 5, 2016 Sponsors:

More information

The Devices. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

The Devices. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic The Devices July 30, 2002 Goal of this chapter Present intuitive understanding of device operation Introduction

More information

Supporting Information for: Sustained sub-60 mv/decade switching via the negative capacitance effect in MoS 2 transistors

Supporting Information for: Sustained sub-60 mv/decade switching via the negative capacitance effect in MoS 2 transistors Supporting Information for: Sustained sub-60 mv/decade switching via the negative capacitance effect in MoS 2 transistors Felicia A. McGuire 1, Yuh-Chen Lin 1, Katherine Price 1, G. Bruce Rayner 2, Sourabh

More information

Lecture #27. The Short Channel Effect (SCE)

Lecture #27. The Short Channel Effect (SCE) Lecture #27 ANNOUNCEMENTS Design Project: Your BJT design should meet the performance specifications to within 10% at both 300K and 360K. ( β dc > 45, f T > 18 GHz, V A > 9 V and V punchthrough > 9 V )

More information

Lecture 6 PN Junction and MOS Electrostatics(III) Metal-Oxide-Semiconductor Structure

Lecture 6 PN Junction and MOS Electrostatics(III) Metal-Oxide-Semiconductor Structure Lecture 6 PN Junction and MOS Electrostatics(III) Metal-Oxide-Semiconductor Structure Outline 1. Introduction to MOS structure 2. Electrostatics of MOS in thermal equilibrium 3. Electrostatics of MOS with

More information

Quantum Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors

Quantum Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors Shih-Ching Lo 1, Yiming Li 2,3, and Jyun-Hwei Tsai 1 1 National Center for High-Performance

More information

Section 12: Intro to Devices

Section 12: Intro to Devices Section 12: Intro to Devices Extensive reading materials on reserve, including Robert F. Pierret, Semiconductor Device Fundamentals Bond Model of Electrons and Holes Si Si Si Si Si Si Si Si Si Silicon

More information

Part 5: Quantum Effects in MOS Devices

Part 5: Quantum Effects in MOS Devices Quantum Effects Lead to Phenomena such as: Ultra Thin Oxides Observe: High Leakage Currents Through the Oxide - Tunneling Depletion in Poly-Si metal gate capacitance effect Thickness of Inversion Layer

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective Semiconductor Memories Adapted from Chapter 12 of Digital Integrated Circuits A Design Perspective Jan M. Rabaey et al. Copyright 2003 Prentice Hall/Pearson Outline Memory Classification Memory Architectures

More information

Feature-level Compensation & Control. Process Integration September 15, A UC Discovery Project

Feature-level Compensation & Control. Process Integration September 15, A UC Discovery Project Feature-level Compensation & Control Process Integration September 15, 2005 A UC Discovery Project Current Milestones Si/Ge-on-insulator and Strained Si-on-insulator Substrate Engineering (M28 YII.13)

More information

Comparison of Ultra-Thin InAs and InGaAs Quantum Wells and Ultra-Thin-Body Surface-Channel MOSFETs

Comparison of Ultra-Thin InAs and InGaAs Quantum Wells and Ultra-Thin-Body Surface-Channel MOSFETs Comparison of Ultra-Thin InAs and InGaAs Quantum Wells and Ultra-Thin-Body Surface-Channel MOSFETs Cheng-Ying Huang 1, Sanghoon Lee 1, Evan Wilson 3, Pengyu Long 3, Michael Povolotskyi 3, Varistha Chobpattana

More information

PROGRESS AND ISSUES IN DIELECTRIC MATERIALS FOR SUB-100NM DRAM TECHNOLOGY ABSTRACT

PROGRESS AND ISSUES IN DIELECTRIC MATERIALS FOR SUB-100NM DRAM TECHNOLOGY ABSTRACT PROGRESS AND ISSUES IN DIELECTRIC MATERIALS FOR SUB-100NM DRAM TECHNOLOGY Kanta Saino Device Integration Group, Technology & Development Office, Elpida Memory Inc. 7-10 Yoshikawakogyodanchi, Higashihiroshima,

More information

Circuits. L5: Fabrication and Layout -2 ( ) B. Mazhari Dept. of EE, IIT Kanpur. B. Mazhari, IITK. G-Number

Circuits. L5: Fabrication and Layout -2 ( ) B. Mazhari Dept. of EE, IIT Kanpur. B. Mazhari, IITK. G-Number EE610: CMOS Analog Circuits L5: Fabrication and Layout -2 (12.8.2013) B. Mazhari Dept. of EE, IIT Kanpur 44 Passive Components: Resistor Besides MOS transistors, sometimes one requires to implement passive

More information

Homework 2 due on Wednesday Quiz #2 on Wednesday Midterm project report due next Week (4 pages)

Homework 2 due on Wednesday Quiz #2 on Wednesday Midterm project report due next Week (4 pages) EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 12: SRAM Design ECC Timing Announcements Homework 2 due on Wednesday Quiz #2 on Wednesday Midterm project report due next Week (4 pages)

More information

! CMOS Process Enhancements. ! Semiconductor Physics. " Band gaps. " Field Effects. ! MOS Physics. " Cut-off. " Depletion.

! CMOS Process Enhancements. ! Semiconductor Physics.  Band gaps.  Field Effects. ! MOS Physics.  Cut-off.  Depletion. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 3, 018 MOS Transistor Theory, MOS Model Lecture Outline! CMOS Process Enhancements! Semiconductor Physics " Band gaps " Field Effects!

More information

Split-gate charge trap memories: impact of scaling on performances and consumption for low-power embedded applications

Split-gate charge trap memories: impact of scaling on performances and consumption for low-power embedded applications Split-gate charge trap memories: impact of scaling on performances and consumption for low-power embedded applications Lia Masoero lia.masoero@cea.fr Outline Introduction Technological details Basics of

More information

RADIATION EFFECTS IN SEMICONDUCTOR MATERIALS AND DEVICES FOR SPACE APPLICATIONS. Cor Claeys and Eddy Simoen

RADIATION EFFECTS IN SEMICONDUCTOR MATERIALS AND DEVICES FOR SPACE APPLICATIONS. Cor Claeys and Eddy Simoen RADIATION EFFECTS IN SEMICONDUCTOR MATERIALS AND DEVICES FOR SPACE APPLICATIONS Cor Claeys and Eddy Simoen IMEC 2010 OUTLINE Introduction Total Dose Effects in thin gate oxides RILC, RSB, SEGR, Latent

More information

JUNCTION LEAKAGE OF A SiC-BASED NON-VOLATILE RANDOM ACCESS MEMORY (NVRAM) K. Y. Cheong ABSTRACT INTRODUCTION

JUNCTION LEAKAGE OF A SiC-BASED NON-VOLATILE RANDOM ACCESS MEMORY (NVRAM) K. Y. Cheong ABSTRACT INTRODUCTION JUNCTION LEAKAGE OF A SiC-BASED NON-VOLATILE RANDOM ACCESS MEMORY (NVRAM) K. Y. Cheong Electronic Materials Research Group, School of Materials and Mineral Resources Engineering, Engineering Campus, Universiti

More information

Single Event Effects: SRAM

Single Event Effects: SRAM Scuola Nazionale di Legnaro 29/3/2007 Single Event Effects: SRAM Alessandro Paccagnella Dipartimento di Ingegneria dell Informazione Università di Padova alessandro.paccagnella@unipd.it OUTLINE Introduction

More information

Lecture 25. Semiconductor Memories. Issues in Memory

Lecture 25. Semiconductor Memories. Issues in Memory Lecture 25 Semiconductor Memories Issues in Memory Memory Classification Memory Architectures TheMemoryCore Periphery 1 Semiconductor Memory Classification RWM NVRWM ROM Random Access Non-Random Access

More information

Solid-State Electronics

Solid-State Electronics Solid-State Electronics 84 (2013) 147 154 Contents lists available at SciVerse ScienceDirect Solid-State Electronics journal homepage: www.elsevier.com/locate/sse Progress in Z 2 -FET 1T-DRAM: Retention

More information

Lecture 5: CMOS Transistor Theory

Lecture 5: CMOS Transistor Theory Lecture 5: CMOS Transistor Theory Slides courtesy of Deming Chen Slides based on the initial set from David Harris CMOS VLSI Design Outline q q q q q q q Introduction MOS Capacitor nmos I-V Characteristics

More information

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories Digital Integrated Circuits A Design Perspective Semiconductor Chapter Overview Memory Classification Memory Architectures The Memory Core Periphery Reliability Case Studies Semiconductor Memory Classification

More information

+ V gate M O. Trend: As k, E g. Part 6: High Dielectric Constant (k), Gate Electrode, & Channel Materials. Bandgap versus Dielectric Constant (k) k k

+ V gate M O. Trend: As k, E g. Part 6: High Dielectric Constant (k), Gate Electrode, & Channel Materials. Bandgap versus Dielectric Constant (k) k k Part 6: High Dielectric Constant (k), Gate Electrode, & Channel Materials O 2 gate oxide is approaching physical limits Thickness & Current M O S poly-crystalline V Source W Source Contact Insulator n

More information

Lecture 9. Strained-Si Technology I: Device Physics

Lecture 9. Strained-Si Technology I: Device Physics Strain Analysis in Daily Life Lecture 9 Strained-Si Technology I: Device Physics Background Planar MOSFETs FinFETs Reading: Y. Sun, S. Thompson, T. Nishida, Strain Effects in Semiconductors, Springer,

More information

Advanced and Emerging Devices: SEMATECH s Perspective

Advanced and Emerging Devices: SEMATECH s Perspective SEMATECH Symposium October 23, 2012 Seoul Accelerating the next technology revolution Advanced and Emerging Devices: SEMATECH s Perspective Paul Kirsch Director, FEP Division Copyright 2012 SEMATECH, Inc.

More information

Effective Capacitance Enhancement Methods for 90-nm DRAM Capacitors

Effective Capacitance Enhancement Methods for 90-nm DRAM Capacitors Journal of the Korean Physical Society, Vol. 44, No. 1, January 2004, pp. 112 116 Effective Capacitance Enhancement Methods for 90-nm DRAM Capacitors Y. K. Park, Y. S. Ahn, S. B. Kim, K. H. Lee, C. H.

More information

Optimization of the Dielectric Constant of a Blocking Dielectric in the Nonvolatile Memory Based on Silicon Nitride

Optimization of the Dielectric Constant of a Blocking Dielectric in the Nonvolatile Memory Based on Silicon Nitride ISSN 8756-699, Optoelectronics, Instrumentation and Data Processing, 9, Vol. 45, No. 4, pp. 48 5. c Allerton Press, Inc., 9. Original Russian Text c Y. N. Novikov, V. A. Gritsenko, K. A. Nasyrov, 9, published

More information

Lecture 12: MOS Capacitors, transistors. Context

Lecture 12: MOS Capacitors, transistors. Context Lecture 12: MOS Capacitors, transistors Context In the last lecture, we discussed PN diodes, and the depletion layer into semiconductor surfaces. Small signal models In this lecture, we will apply those

More information

P. R. Nelson 1 ECE418 - VLSI. Midterm Exam. Solutions

P. R. Nelson 1 ECE418 - VLSI. Midterm Exam. Solutions P. R. Nelson 1 ECE418 - VLSI Midterm Exam Solutions 1. (8 points) Draw the cross-section view for A-A. The cross-section view is as shown below.. ( points) Can you tell which of the metal1 regions is the

More information

Electrical and Reliability Characteristics of RRAM for Cross-point Memory Applications. Hyunsang Hwang

Electrical and Reliability Characteristics of RRAM for Cross-point Memory Applications. Hyunsang Hwang Electrical and Reliability Characteristics of RRAM for Cross-point Memory Applications Hyunsang Hwang Dept. of Materials Science and Engineering Gwangju Institute of Science and Technology (GIST), KOREA

More information

Characteristics Optimization of Sub-10 nm Double Gate Transistors

Characteristics Optimization of Sub-10 nm Double Gate Transistors Characteristics Optimization of Sub-10 nm Double Gate Transistors YIMING LI 1,,*, JAM-WEM Lee 1, and HONG-MU CHOU 3 1 Departmenet of Nano Device Technology, National Nano Device Laboratories Microelectronics

More information

ANALYTICAL SOI MOSFET MODEL VALID FOR GRADED-CHANNEL DEVICES

ANALYTICAL SOI MOSFET MODEL VALID FOR GRADED-CHANNEL DEVICES ANALYICAL SOI MOSFE MODEL VALID FOR GRADED-CHANNEL DEVICES Benjamín Iñíguez 1, Marcelo Antonio Pavanello 2,3, João Antonio Martino 3 and Denis Flandre 4 3 Escola ècnica Superior d`engenyeria Universitat

More information