Feature-level Compensation & Control. Process Integration September 15, A UC Discovery Project

Size: px
Start display at page:

Download "Feature-level Compensation & Control. Process Integration September 15, A UC Discovery Project"

Transcription

1 Feature-level Compensation & Control Process Integration September 15, 2005 A UC Discovery Project

2 Current Milestones Si/Ge-on-insulator and Strained Si-on-insulator Substrate Engineering (M28 YII.13) Increase thermal robustness of GeOI by using nitrogen and ammonia plasma for bonding. Use of pseudo-mosfet structure to evaluate transferred layer electronic properties. Develop a thermal-mechanical model to predict transferred layer thickness and structural stability. Work with industrial sponsors to initiate SOI research. Diffusion of oxygen in germanium (M29 YII.14) Determine the diffusion coefficient for the diffusion of oxygen in germanium, including the temperature dependence and the activation energy of the diffusion. Investigate the effect of an SiO 2 cap on the diffusion of Si in Ge. Initial experiments on interaction of fine patterns with diffusion. Transient enhanced diffusion of B in Ge (Milestone added) Investigate the effect of ion implantation damage on the diffusion of B in Ge. 2 Intermixing of germanium in SOI films (YII.15) Develop a process for selectively forming strained Si 1-x Ge x -in-soi by intermixing Ge & Si 09/15/ Process Integration

3 3 Year 3 Milestones Si/Ge-on-insulator and Strained Si-on-insulator Substrate Engineering (PI Y3.1) Prototype GeOI MOSFET performance evaluation. Demonstrate GeSiOI layer transfer using GeSi epi wafers. Investigate interfacial quality with high-k dielectric as buried insulator. Effect of surface on diffusion in germanium (PI Y3.2) Utilize the test mask for the growth of thermal oxide and thermal nitride on Ge to systematically study the effects of the surface layers on diffusion in Ge. Effect of implantation damage on the diffusion of dopants in Ge (PI Y3.3) Study the effect of ion implantation, in particular, end of range damage on the diffusion of common dopants in Ge. Determine if ion implantation damages have any transient effect on diffusion in Ge. Characterization of Si 1-x Ge x formed with Ge/Si intermixing process (PI Y3.4) Characterize the resistance of boron-doped Si 1-x Ge x -on-insulator formed by the Ge/Si intermixing process. Characterize metal-to-si 1-x Ge x -on-insulator contact resistance and explore ways of lowering this to below 10-8 Ω-cm 2. 09/15/ Process Integration

4 4 Advanced S/D Technology for Thin-Body FETs Pankaj Kalra, Prof. Tsu-Jae King University of California, Berkeley XTEM of UTB MOSFET w/ raised Ge S/D Si 1-x Ge x Source Gate SOI Si 1-x Ge x Drain Gate Ge Source Ge Drain SiO 2 Si T Si = 3 nm 09/15/ Process Integration SiO 2 Silicon Substrate

5 5 Year 2 Milestones Develop a process for selectively forming strained Si 1-x Ge x -in-soi by intermixing Ge & Si Future Milestones Characterization of series and contact resistances of boron-doped Si 1-x Ge x -on-insulator layers 09/15/ Process Integration

6 6 UTB MOSFET with SiGe Source/Drain 2-D Stress Distribution Stress Profile vs. L g K. Shin et al., to be published Stress in the channel varies with position increases with decreasing L g & T Si, and with increasing Ge in S/D 09/15/ Process Integration

7 7 Results to Date Intermixed Ge/Si samples have been fabricated Various surface/interface treatments Boron-implanted vs. unimplanted 800 o C vs. 850 o C anneal SiO 2 Ge SiO 2 Ge SiO 2 Ge Silicon Substrate Characterization of Ge profile is underway XTEM with EDX nanoprobe XTEM with EELS 09/15/ Process Integration Collaboration with Prof. Haller s group

8 8 Transient Effects on Boron Diffusion in Germanium Chris Liao, Hughes Silvestri, and Eugene E. Haller University of California at Berkeley and Lawrence Berkeley National Laboratory, Berkeley, CA 09/15/ Process Integration

9 09/15/ Process Integration 9 Motivation New generation electronic devices utilize heterogeneous materials incorporation (e.g., Si/SiGe and Ge) to enhance device performance Due to aggressive scaling, precise dopant and selfdiffusion controls in SiGe and Ge are becoming ever more crucial Advanced modeling and control of diffusion requires an improved basic understanding of diffusion processes in SiGe and Ge High quality diffusion data on SiGe and Ge are not available

10 10 Current Milestones Year 2 Milestone (2005): Determine diffusion coefficient of O in Ge and the effect of SiO 2 cap on diffusion of Si in Ge (in progress) Year 2 Milestone: Investigate transient enhanced diffusion (TED) in Ge (added) the effect of implantation damage on B diffusion in Ge 09/15/ Process Integration

11 11 Diffusion Mechanisms in Ge Diffusion in Ge is believed to be mostly vacancy-mediated Interstitial Ge has not been observed B diffusion in Ge has high 2 activation energy: D = 6 10 cm Vacancy mechanism 4.5eV s exp kbt 8 * *W. C. Dunlap, Jr., Phys. Rev. 94, 1531 (1954) 09/15/ Process Integration

12 12 Experimental Setup B + implantation (111) Ge wafer B + implantation + Ge + implantation Ge wafer Ge wafer Boron is chosen because it shows very limited equilibrium diffusion; transient enhancement is expected to be detectable Subsequent Ge implantation is used to create excess native defects without introducing electrically active dopants 09/15/ Process Integration

13 13 Preliminary SIMS Results B in Ge B in Ge as-imp; 32keV, cm C 30 min anneal - simulation 550 C 30 min anneal - SIMS data B implantation - simulation Concentration (atoms/cm 3 ) Depth (nm) 09/15/ Process Integration

14 14 Preliminary SIMS Results A broader tail relative to implant simulation is observed in as-implanted profile due to channeling effect Diffusion simulation shows no observable diffusion for 550 C 30 minutes anneal SIMS result shows a significant increase in diffusivity Further experiments are required to pinpoint the source(s) of the diffusion enhancement (TED, random channeling, other effects) 09/15/ Process Integration

15 15 Future Milestones Year 3 Milestone: Effect of implantation damage on dopant diffusion in Ge (Added) Extend the current experiment to other dopants such as P and As More relevant for feature level control because P and As have to be thermally activated to be electrically active whereas B is activated upon implantation Effect of surface on diffusion in Ge (M44) Utilize the test mask to systematically study the effects of selective area oxidation and nitridation on diffusion in Ge 09/15/ Process Integration

16 16 Fabrication and Processing of GeOI Eric Z. Liu, Vorrada Loryuenyong, Prof. Nathan W. Cheung University of California, Berkeley Ge Transferred Germanium 1 cm 850nm Ox/Si 09/15/ Process Integration

17 17 Key Results: Year 2 to date Delamination defect density greatly reduced using Nitrogen plasma treatment prior to bonding CMP process reduces GeOI surface RMS < 1nm ; (5x5µm AFM image) Establish fast turn-around method to evaluate electrical property of bonded interface with Pseudo MOSFET measurement (4-probe configuration). Thermal-Mechanical model predicting transfer layer thickness improved by incorporating : (1) Implantation induced stress effect (2) Thermal mismatch between Ge and Si substrate 09/15/ Process Integration

18 18 Layer Transfer Process Improvement 09/15/ Process Integration

19 19 GeOI surface smoothing by CMP 200nm (a) as-cut GeOI Z[nm] RMS:11.8 nm; Ra:9.5 nm Z-range: 87.8 nm X[µm] 200nm 09/15/ Process Integration Z[nm] (b) after CMP and HF dip RMS: 0.3 nm; Ra: 0.23 nm Z-range: 3 nm X[µm]

20 20 GeOI surface smoothing by CMP and H 2 annealing As-cut,Ra=14.6nm CMP: Ra=0.86nm H 2 anneal+hf dip: Ra=0.7nm (Pad_2: Polishing cloth Rayon- Fine 8 Diameter PSA P/N PRF08A-10, SBT Inc. ) H2 annealing process being optimized for Ra(target) =0.2nm 09/15/ Process Integration

21 21 V 2,3 V Pseudo MOSFET Measurement (4-probe configuration) A I 1,4 Fast turn-around technique to evaluate quality of bonded interface 1 2 Ge 3 4 BOX P + -Si substrate _ V G + BOX interface charge and interface carrier mobility can be extracted 09/15/ Process Integration

22 22 Pseudo MOSFET result 130 I 1,4 for keeping V 2,3 =0.5V I 1,4 /2 for keeping V 2,3 =1.0V I 1,4 /3 for keeping V 2,3 =1.5V 120 I, (µa) I 1,4 = f g C ox (V G -V FB )V 2,3 µ p V G, (V) Accumulated Mode: hole mobility µ p0 =88cm 2 /V-sec 09/15/ Process Integration

23 Implanted layer 09/15/ Process Integration 23 Thermal-Mechanical Model to predict transfer layer thickness Silicon h d Germanium M M= M applied +M thermal + M implant P = P applied +P thermal + P implant K K I II = f 1 = f d E (, h E 2 Si Ge d E (, h E Si Ge )Ph )Ph 1/ 2 1/ 2 + g 1 + g d E (, h E 2 Si Ge d E (, h E Si Ge )Mh )Mh P 3/ 2 3/ 2 Based on Hutchinson and Wu, Adv. Appl. Mechanics (1992) Normalized Y d Y( h 1.0 Interface Delamination E, E Si Ge Under condition K II = Relative Crack Depth, d/h ) = Ph / M = f 2 ( d h E, E Si Ge ) / g 2 ( d h E, E Si Ge )

24 24 Future Milestones H 2 annealing effects on the smoothing of GeOI surface Evaluate bonded interface electrical quality with various processing conditions by Pseudo MOSFET measurements Fabricate and evaluate prototype GeOI MOSFET Demonstrate GeSiOI layer transfer using GeSi epi wafers (with Per-Ove Hansson, AMAT) Investigate interfacial quality with high-k dielectric as buried insulator (with Ilkka Suni, VTT, Finland). 09/15/ Process Integration

Diffusion in Extrinsic Silicon and Silicon Germanium

Diffusion in Extrinsic Silicon and Silicon Germanium 1 Diffusion in Extrinsic Silicon and Silicon Germanium SFR Workshop & Review November 14, 2002 Hughes Silvestri, Ian Sharp, Hartmut Bracht, and Eugene Haller Berkeley, CA 2002 GOAL: Diffusion measurements

More information

Research of Germanium on Insulator

Research of Germanium on Insulator 1 Research of Germanium on Insulator Haiyan Jin, visiting scholar Collaborators: Eric Liu and Prof.Nathan Cheung EECS, UC Berkeley The work is supported by the UC Discovery FLCC and IMPACT programs 2 Institute

More information

Schottky-Barrier Engineering for Low-Resistance Contacts

Schottky-Barrier Engineering for Low-Resistance Contacts 1 Schottky-Barrier Engineering for Low-Resistance Contacts Pankaj Kalra, Hideki Takeuchi, Tsu-Jae King Department of Electrical Engineering and Computer Sciences University of California, Berkeley, CA

More information

Diffusion in Extrinsic Silicon

Diffusion in Extrinsic Silicon 1 Diffusion in Extrinsic Silicon SFR Workshop & Review April 17, 2002 Hughes Silvestri, Ian Sharp, Hartmut Bracht, and Eugene Haller Berkeley, CA 2002 GOAL: Diffusion measurements on P doped Si to complete

More information

Faculty Presentation: Novel Technologies

Faculty Presentation: Novel Technologies 2009 IMPACT Workshop Faculty Presentation: Novel Technologies Chenming Hu, EECS Department, UC Berkeley Tsu-Jae King Liu, EECS Department, UC Berkeley Eugene Haller, MS&E Department, UC Berkeley Nathan

More information

Ion Implant Part 1. Saroj Kumar Patra, TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU )

Ion Implant Part 1. Saroj Kumar Patra, TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU ) 1 Ion Implant Part 1 Chapter 17: Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2014 Saroj Kumar Patra,, Norwegian University of Science and Technology ( NTNU ) 2 Objectives

More information

Dopant and Self-Diffusion in Semiconductors: A Tutorial

Dopant and Self-Diffusion in Semiconductors: A Tutorial Dopant and Self-Diffusion in Semiconductors: A Tutorial Eugene Haller and Hughes Silvestri MS&E, UCB and LBNL FLCC Tutorial 1/26/04 1 FLCC Outline Motivation Background Fick s Laws Diffusion Mechanisms

More information

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications D. Tsoukalas, S. Kolliopoulou, P. Dimitrakis, P. Normand Institute of Microelectronics, NCSR Demokritos, Athens, Greece S. Paul,

More information

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room).

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). The Final Exam will take place from 12:30PM to 3:30PM on Saturday May 12 in 60 Evans.» All of

More information

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages:

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages: Ion Implantation alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages: mass separation allows wide varies of dopants dose control: diffusion

More information

Quiz #1 Practice Problem Set

Quiz #1 Practice Problem Set Name: Student Number: ELEC 3908 Physical Electronics Quiz #1 Practice Problem Set? Minutes January 22, 2016 - No aids except a non-programmable calculator - All questions must be answered - All questions

More information

Review of Semiconductor Fundamentals

Review of Semiconductor Fundamentals ECE 541/ME 541 Microelectronic Fabrication Techniques Review of Semiconductor Fundamentals Zheng Yang (ERF 3017, email: yangzhen@uic.edu) Page 1 Semiconductor A semiconductor is an almost insulating material,

More information

NITROGEN CONTAINING ULTRA THIN SiO 2 FILMS ON Si OBTAINED BY ION IMPLANTATION

NITROGEN CONTAINING ULTRA THIN SiO 2 FILMS ON Si OBTAINED BY ION IMPLANTATION NITROGEN CONTAINING ULTRA THIN SiO 2 FILMS ON Si OBTAINED BY ION IMPLANTATION Sashka Petrova Alexandrova 1, Evgenia Petrova Valcheva 2, Rumen Georgiev Kobilarov 1 1 Department of Applied Physics, Technical

More information

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Lec 6: September 14, 2015 MOS Model You are Here: Transistor Edition! Previously: simple models (0 and 1 st order) " Comfortable

More information

Chapter 3 Basics Semiconductor Devices and Processing

Chapter 3 Basics Semiconductor Devices and Processing Chapter 3 Basics Semiconductor Devices and Processing Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives Identify at least two

More information

Enhanced Mobility CMOS

Enhanced Mobility CMOS Enhanced Mobility CMOS Judy L. Hoyt I. Åberg, C. Ni Chléirigh, O. Olubuyide, J. Jung, S. Yu, E.A. Fitzgerald, and D.A. Antoniadis Microsystems Technology Laboratory MIT, Cambridge, MA 02139 Acknowledge

More information

Xing Sheng, 微纳光电子材料与器件工艺原理. Doping 掺杂. Xing Sheng 盛兴. Department of Electronic Engineering Tsinghua University

Xing Sheng, 微纳光电子材料与器件工艺原理. Doping 掺杂. Xing Sheng 盛兴. Department of Electronic Engineering Tsinghua University 微纳光电子材料与器件工艺原理 Doping 掺杂 Xing Sheng 盛兴 Department of Electronic Engineering Tsinghua University xingsheng@tsinghua.edu.cn 1 Semiconductor PN Junctions Xing Sheng, EE@Tsinghua LEDs lasers detectors solar

More information

Make sure the exam paper has 9 pages (including cover page) + 3 pages of data for reference

Make sure the exam paper has 9 pages (including cover page) + 3 pages of data for reference UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Spring 2006 EE143 Midterm Exam #1 Family Name First name SID Signature Make sure the exam paper

More information

A semiconductor is an almost insulating material, in which by contamination (doping) positive or negative charge carriers can be introduced.

A semiconductor is an almost insulating material, in which by contamination (doping) positive or negative charge carriers can be introduced. Semiconductor A semiconductor is an almost insulating material, in which by contamination (doping) positive or negative charge carriers can be introduced. Page 2 Semiconductor materials Page 3 Energy levels

More information

Effects of Antimony Near SiO 2 /SiC Interfaces

Effects of Antimony Near SiO 2 /SiC Interfaces Effects of Antimony Near SiO 2 /SiC Interfaces P.M. Mooney, A.F. Basile, and Zenan Jiang Simon Fraser University, Burnaby, BC, V5A1S6, Canada and Yongju Zheng, Tamara Isaacs-Smith Smith, Aaron Modic, and

More information

Fast Monte-Carlo Simulation of Ion Implantation. Binary Collision Approximation Implementation within ATHENA

Fast Monte-Carlo Simulation of Ion Implantation. Binary Collision Approximation Implementation within ATHENA Fast Monte-Carlo Simulation of Ion Implantation Binary Collision Approximation Implementation within ATHENA Contents Simulation Challenges for Future Technologies Monte-Carlo Concepts and Models Atomic

More information

Single ion implantation for nanoelectronics and the application to biological systems. Iwao Ohdomari Waseda University Tokyo, Japan

Single ion implantation for nanoelectronics and the application to biological systems. Iwao Ohdomari Waseda University Tokyo, Japan Single ion implantation for nanoelectronics and the application to biological systems Iwao Ohdomari Waseda University Tokyo, Japan Contents 1.History of single ion implantation (SII) 2.Novel applications

More information

September 21, 2005, Wednesday

September 21, 2005, Wednesday , Wednesday Doping and diffusion I Faster MOSFET requires shorter channel P + Poly Al Al Motivation Requires shallower source, drain Al P + Poly Al source drain Shorter channel length; yes, but same source

More information

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Lec 6: September 18, 2017 MOS Model You are Here: Transistor Edition! Previously: simple models (0 and 1 st order) " Comfortable

More information

Supporting Information. A differential Hall effect measurement method with. sub-nanometre resolution for active dopant

Supporting Information. A differential Hall effect measurement method with. sub-nanometre resolution for active dopant Supporting Information for A differential Hall effect measurement method with sub-nanometre resolution for active dopant concentration profiling in ultrathin doped Si 1 x Ge x and Si layers Richard Daubriac*

More information

Chapter 8 Ion Implantation

Chapter 8 Ion Implantation Chapter 8 Ion Implantation 2006/5/23 1 Wafer Process Flow Materials IC Fab Metalization CMP Dielectric deposition Test Wafers Masks Thermal Processes Implant PR strip Etch PR strip Packaging Photolithography

More information

DIFFUSION - Chapter 7

DIFFUSION - Chapter 7 DIFFUSION - Chapter 7 Doping profiles determine many short-channel characteristics in MOS devices. Resistance impacts drive current. Scaling implies all lateral and vertical dimensions scale by the same

More information

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance 1 Seminar Spacer Lithography for Reduced Variability in MOSFET Performance Prof. Tsu-Jae King Liu Electrical Engineering & Computer Sciences Dept. University of California at Berkeley Graduate Student:

More information

! Previously: simple models (0 and 1 st order) " Comfortable with basic functions and circuits. ! This week and next (4 lectures)

! Previously: simple models (0 and 1 st order)  Comfortable with basic functions and circuits. ! This week and next (4 lectures) ESE370: CircuitLevel Modeling, Design, and Optimization for Digital Systems Lec 6: September 14, 2015 MOS Model You are Here: Transistor Edition! Previously: simple models (0 and 1 st order) " Comfortable

More information

ATOMIC-SCALE THEORY OF RADIATION-INDUCED PHENOMENA

ATOMIC-SCALE THEORY OF RADIATION-INDUCED PHENOMENA ATOMIC-SCALE THEORY OF RADIATION-INDUCED PHENOMENA OVERVIEW OF THE LAST FIVE YEARS AND NEW RESULTS Sokrates T. Pantelides Department of Physics and Astronomy, Vanderbilt University, Nashville, TN The theory

More information

Lecture 15 OUTLINE. MOSFET structure & operation (qualitative) Review of electrostatics The (N)MOS capacitor

Lecture 15 OUTLINE. MOSFET structure & operation (qualitative) Review of electrostatics The (N)MOS capacitor Lecture 15 OUTLINE MOSFET structure & operation (qualitative) Review of electrostatics The (N)MOS capacitor Electrostatics t ti Charge vs. voltage characteristic Reading: Chapter 6.1 6.2.1 EE105 Fall 2007

More information

MOS Transistor Properties Review

MOS Transistor Properties Review MOS Transistor Properties Review 1 VLSI Chip Manufacturing Process Photolithography: transfer of mask patterns to the chip Diffusion or ion implantation: selective doping of Si substrate Oxidation: SiO

More information

Asymmetrical heating behavior of doped Si channels in bulk silicon and in silicon-on-insulator under high current stress

Asymmetrical heating behavior of doped Si channels in bulk silicon and in silicon-on-insulator under high current stress JOURNAL OF APPLIED PHYSICS VOLUME 86, NUMBER 12 15 DECEMBER 1999 Asymmetrical heating behavior of doped Si channels in bulk silicon and in silicon-on-insulator under high current stress C. N. Liao, a)

More information

Multiple Gate CMOS and Beyond

Multiple Gate CMOS and Beyond Multiple CMOS and Beyond Dept. of EECS, KAIST Yang-Kyu Choi Outline 1. Ultimate Scaling of MOSFETs - 3nm Nanowire FET - 8nm Non-Volatile Memory Device 2. Multiple Functions of MOSFETs 3. Summary 2 CMOS

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 23, 2018 MOS Transistor Theory, MOS Model Penn ESE 570 Spring 2018 Khanna Lecture Outline! CMOS Process Enhancements! Semiconductor

More information

CMOS. Technology Doping Profiles. Simulation of 0.35 Ixm/0.25 INTRODUCTION

CMOS. Technology Doping Profiles. Simulation of 0.35 Ixm/0.25 INTRODUCTION VLSI DESIGN 2001, Vol. 13, Nos. 4, pp. 459-- 463 Reprints available directly from the publisher Photocopying permitted by license only (C) 2001 OPA (Overseas Publishers Association) N.V. Published by license

More information

ECE 340 Lecture 39 : MOS Capacitor II

ECE 340 Lecture 39 : MOS Capacitor II ECE 340 Lecture 39 : MOS Capacitor II Class Outline: Effects of Real Surfaces Threshold Voltage MOS Capacitance-Voltage Analysis Things you should know when you leave Key Questions What are the effects

More information

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey.

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE 143 Professor Ali Javey Spring 2009 Exam 2 Name: SID: Closed book. One sheet of notes is allowed.

More information

! Previously: simple models (0 and 1 st order) " Comfortable with basic functions and circuits. ! This week and next (4 lectures)

! Previously: simple models (0 and 1 st order)  Comfortable with basic functions and circuits. ! This week and next (4 lectures) ESE370: CircuitLevel Modeling, Design, and Optimization for Digital Systems Lec 6: September 18, 2017 MOS Model You are Here: Transistor Edition! Previously: simple models (0 and 1 st order) " Comfortable

More information

Lecture 15 OUTLINE. MOSFET structure & operation (qualitative) Review of electrostatics The (N)MOS capacitor

Lecture 15 OUTLINE. MOSFET structure & operation (qualitative) Review of electrostatics The (N)MOS capacitor Lecture 15 OUTLINE MOSFET structure & operation (qualitative) Review of electrostatics The (N)MOS capacitor Electrostatics Charge vs. voltage characteristic Reading: Chapter 6.1 6.2.1 EE15 Spring 28 Lecture

More information

This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented.

This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. References IEICE Electronics Express, Vol.* No.*,*-* Effects of Gamma-ray radiation on

More information

ECE 342 Electronic Circuits. Lecture 6 MOS Transistors

ECE 342 Electronic Circuits. Lecture 6 MOS Transistors ECE 342 Electronic Circuits Lecture 6 MOS Transistors Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jesa@illinois.edu 1 NMOS Transistor Typically L = 0.1 to 3 m, W = 0.2

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

EE130: Integrated Circuit Devices

EE130: Integrated Circuit Devices EE130: Integrated Circuit Devices (online at http://webcast.berkeley.edu) Instructor: Prof. Tsu-Jae King (tking@eecs.berkeley.edu) TA s: Marie Eyoum (meyoum@eecs.berkeley.edu) Alvaro Padilla (apadilla@eecs.berkeley.edu)

More information

TCAD Modeling of Stress Impact on Performance and Reliability

TCAD Modeling of Stress Impact on Performance and Reliability TCAD Modeling of Stress Impact on Performance and Reliability Xiaopeng Xu TCAD R&D, Synopsys March 16, 2010 SEMATECH Workshop on Stress Management for 3D ICs using Through Silicon Vias 1 Outline Introduction

More information

EE 5211 Analog Integrated Circuit Design. Hua Tang Fall 2012

EE 5211 Analog Integrated Circuit Design. Hua Tang Fall 2012 EE 5211 Analog Integrated Circuit Design Hua Tang Fall 2012 Today s topic: 1. Introduction to Analog IC 2. IC Manufacturing (Chapter 2) Introduction What is Integrated Circuit (IC) vs discrete circuits?

More information

Scaling Issues in Planar FET: Dual Gate FET and FinFETs

Scaling Issues in Planar FET: Dual Gate FET and FinFETs Scaling Issues in Planar FET: Dual Gate FET and FinFETs Lecture 12 Dr. Amr Bayoumi Fall 2014 Advanced Devices (EC760) Arab Academy for Science and Technology - Cairo 1 Outline Scaling Issues for Planar

More information

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing EE115C Winter 2017 Digital Electronic Circuits Lecture 3: MOS RC Model, CMOS Manufacturing Agenda MOS Transistor: RC Model (pp. 104-113) S R on D CMOS Manufacturing Process (pp. 36-46) S S C GS G G C GD

More information

EE410 vs. Advanced CMOS Structures

EE410 vs. Advanced CMOS Structures EE410 vs. Advanced CMOS Structures Prof. Krishna S Department of Electrical Engineering S 1 EE410 CMOS Structure P + poly-si N + poly-si Al/Si alloy LPCVD PSG P + P + N + N + PMOS N-substrate NMOS P-well

More information

Lecture 12: MOS Capacitors, transistors. Context

Lecture 12: MOS Capacitors, transistors. Context Lecture 12: MOS Capacitors, transistors Context In the last lecture, we discussed PN diodes, and the depletion layer into semiconductor surfaces. Small signal models In this lecture, we will apply those

More information

EE 212 FALL ION IMPLANTATION - Chapter 8 Basic Concepts

EE 212 FALL ION IMPLANTATION - Chapter 8 Basic Concepts EE 212 FALL 1999-00 ION IMPLANTATION - Chapter 8 Basic Concepts Ion implantation is the dominant method of doping used today. In spite of creating enormous lattice damage it is favored because: Large range

More information

Section 12: Intro to Devices

Section 12: Intro to Devices Section 12: Intro to Devices Extensive reading materials on reserve, including Robert F. Pierret, Semiconductor Device Fundamentals Bond Model of Electrons and Holes Si Si Si Si Si Si Si Si Si Silicon

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. EECS 130 Professor Ali Javey Fall 2006

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. EECS 130 Professor Ali Javey Fall 2006 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 130 Professor Ali Javey Fall 2006 Midterm 2 Name: SID: Closed book. Two sheets of notes are

More information

Dopant Diffusion. (1) Predeposition dopant gas. (2) Drive-in Turn off dopant gas. dose control. Doped Si region

Dopant Diffusion. (1) Predeposition dopant gas. (2) Drive-in Turn off dopant gas. dose control. Doped Si region Dopant Diffusion (1) Predeposition dopant gas dose control SiO Si SiO Doped Si region () Drive-in Turn off dopant gas or seal surface with oxide profile control (junction depth; concentration) SiO SiO

More information

Section 12: Intro to Devices

Section 12: Intro to Devices Section 12: Intro to Devices Extensive reading materials on reserve, including Robert F. Pierret, Semiconductor Device Fundamentals EE143 Ali Javey Bond Model of Electrons and Holes Si Si Si Si Si Si Si

More information

Make sure the exam paper has 8 pages plus an appendix page at the end.

Make sure the exam paper has 8 pages plus an appendix page at the end. UNIVERSITY OF CALIFORNIA College of Engineering Deartment of Electrical Engineering and Comuter Sciences Fall 2000 EE143 Midterm Exam #1 Family Name First name Signature Make sure the exam aer has 8 ages

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 29, 2019 MOS Transistor Theory, MOS Model Penn ESE 570 Spring 2019 Khanna Lecture Outline! CMOS Process Enhancements! Semiconductor

More information

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today MOS MOS. Capacitor. Idea

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today MOS MOS. Capacitor. Idea ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 9: September 26, 2011 MOS Model Today MOS Structure Basic Idea Semiconductor Physics Metals, insulators Silicon lattice

More information

Fig The electron mobility for a-si and poly-si TFT.

Fig The electron mobility for a-si and poly-si TFT. Fig. 1-1-1 The electron mobility for a-si and poly-si TFT. Fig. 1-1-2 The aperture ratio for a-si and poly-si TFT. 33 Fig. 1-2-1 All kinds defect well. (a) is the Dirac well. (b) is the repulsive Columbic

More information

Chapter 12: Electrical Properties. RA l

Chapter 12: Electrical Properties. RA l Charge carriers and conduction: Chapter 12: Electrical Properties Charge carriers include all species capable of transporting electrical charge, including electrons, ions, and electron holes. The latter

More information

Chapter 9 Ion Implantation

Chapter 9 Ion Implantation Chapter 9 Ion Implantation Professor Paul K. Chu Ion Implantation Ion implantation is a low-temperature technique for the introduction of impurities (dopants) into semiconductors and offers more flexibility

More information

Ion Implantation ECE723

Ion Implantation ECE723 Ion Implantation Topic covered: Process and Advantages of Ion Implantation Ion Distribution and Removal of Lattice Damage Simulation of Ion Implantation Range of Implanted Ions Ion Implantation is the

More information

ION IMPLANTATION - Chapter 8 Basic Concepts

ION IMPLANTATION - Chapter 8 Basic Concepts ION IMPLANTATION - Chapter 8 Basic Concepts Ion implantation is the dominant method of doping used today. In spite of creating enormous lattice damage it is favored because: Large range of doses - 1 11

More information

(a) (b) Supplementary Figure 1. (a) (b) (a) Supplementary Figure 2. (a) (b) (c) (d) (e)

(a) (b) Supplementary Figure 1. (a) (b) (a) Supplementary Figure 2. (a) (b) (c) (d) (e) (a) (b) Supplementary Figure 1. (a) An AFM image of the device after the formation of the contact electrodes and the top gate dielectric Al 2 O 3. (b) A line scan performed along the white dashed line

More information

MENA9510 characterization course: Capacitance-voltage (CV) measurements

MENA9510 characterization course: Capacitance-voltage (CV) measurements MENA9510 characterization course: Capacitance-voltage (CV) measurements 30.10.2017 Halvard Haug Outline Overview of interesting sample structures Ohmic and schottky contacts Why C-V for solar cells? The

More information

EE-612: Lecture 22: CMOS Process Steps

EE-612: Lecture 22: CMOS Process Steps EE-612: Lecture 22: CMOS Process Steps Mark Lundstrom Electrical and Computer Engineering Purdue University West Lafayette, IN USA Fall 2006 NCN www.nanohub.org Lundstrom EE-612 F06 1 outline 1) Unit Process

More information

! CMOS Process Enhancements. ! Semiconductor Physics. " Band gaps. " Field Effects. ! MOS Physics. " Cut-off. " Depletion.

! CMOS Process Enhancements. ! Semiconductor Physics.  Band gaps.  Field Effects. ! MOS Physics.  Cut-off.  Depletion. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 3, 018 MOS Transistor Theory, MOS Model Lecture Outline! CMOS Process Enhancements! Semiconductor Physics " Band gaps " Field Effects!

More information

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen Lecture 150 Basic IC Processes (10/10/01) Page 1501 LECTURE 150 BASIC IC PROCESSES (READING: TextSec. 2.2) INTRODUCTION Objective The objective of this presentation is: 1.) Introduce the fabrication of

More information

A 20 nm gate-length ultra-thin body p-mosfet with silicide source/drain

A 20 nm gate-length ultra-thin body p-mosfet with silicide source/drain Superlattices and Microstructures, Vol. 28, No. 5/6, 2000 doi:10.1006/spmi.2000.0947 Available online at http://www.idealibrary.com on A 20 nm gate-length ultra-thin body p-mosfet with silicide source/drain

More information

EE143 LAB. Professor N Cheung, U.C. Berkeley

EE143 LAB. Professor N Cheung, U.C. Berkeley EE143 LAB 1 1 EE143 Equipment in Cory 218 2 Guidelines for Process Integration * A sequence of Additive and Subtractive steps with lateral patterning Processing Steps Si wafer Watch out for materials compatibility

More information

Evaluation of plasma strip induced substrate damage Keping Han 1, S. Luo 1, O. Escorcia 1, Carlo Waldfried 1 and Ivan Berry 1, a

Evaluation of plasma strip induced substrate damage Keping Han 1, S. Luo 1, O. Escorcia 1, Carlo Waldfried 1 and Ivan Berry 1, a Solid State Phenomena Vols. 14-146 (29) pp 249-22 Online available since 29/Jan/6 at www.scientific.net (29) Trans Tech Publications, Switzerland doi:.428/www.scientific.net/ssp.14-146.249 Evaluation of

More information

Make sure the exam paper has 7 pages (including cover page) + 3 pages of data for reference

Make sure the exam paper has 7 pages (including cover page) + 3 pages of data for reference UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Fall 2005 EE143 Midterm Exam #1 Family Name First name SID Signature Make sure the exam paper

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

Semiconductor-Detectors

Semiconductor-Detectors Semiconductor-Detectors 1 Motivation ~ 195: Discovery that pn-- junctions can be used to detect particles. Semiconductor detectors used for energy measurements ( Germanium) Since ~ 3 years: Semiconductor

More information

Enhancing the Performance of Organic Thin-Film Transistor using a Buffer Layer

Enhancing the Performance of Organic Thin-Film Transistor using a Buffer Layer Proceedings of the 9th International Conference on Properties and Applications of Dielectric Materials July 19-23, 29, Harbin, China L-7 Enhancing the Performance of Organic Thin-Film Transistor using

More information

Lecture 1. OUTLINE Basic Semiconductor Physics. Reading: Chapter 2.1. Semiconductors Intrinsic (undoped) silicon Doping Carrier concentrations

Lecture 1. OUTLINE Basic Semiconductor Physics. Reading: Chapter 2.1. Semiconductors Intrinsic (undoped) silicon Doping Carrier concentrations Lecture 1 OUTLINE Basic Semiconductor Physics Semiconductors Intrinsic (undoped) silicon Doping Carrier concentrations Reading: Chapter 2.1 EE105 Fall 2007 Lecture 1, Slide 1 What is a Semiconductor? Low

More information

Glasgow eprints Service

Glasgow eprints Service Palmer, M.J. and Braithwaite, G. and Prest, M.J. and Parker, E.H.C. and Whall, T.E. and Zhao, Y.P. and Kaya, S. and Watling, J.R. and Asenov, A. and Barker, J.R. and Waite, A.M. and Evans, A.G.R. (2001)

More information

Some Key Materials Issues in Semiconductor Quantum Dots Based Nano-electronics

Some Key Materials Issues in Semiconductor Quantum Dots Based Nano-electronics Some Key Materials Issues in Semiconductor Quantum Dots Based Nano-electronics Prabhu Balasubramanian PhD Graduate Student Department of Materials Science and Engineering Rensselaer Polytechnic Institute,

More information

Plasma Technology September 15, 2005 A UC Discovery Project

Plasma Technology September 15, 2005 A UC Discovery Project 1 Feature-level Compensation & Control Plasma Technology September 15, 2005 A UC Discovery Project 9/15/05 - Plasma Technology 2 Plasma Technology Professors Jane P. Chang (UCLA), Michael A. Lieberman,

More information

High Mobility Materials and Novel Device Structures for High Performance Nanoscale MOSFETs

High Mobility Materials and Novel Device Structures for High Performance Nanoscale MOSFETs High Mobility Materials and Novel Device Structures for High Performance Nanoscale MOSFETs Prof. (Dr.) Tejas Krishnamohan Department of Electrical Engineering Stanford University, CA & Intel Corporation

More information

NEW ANALYTICAL MODEL AND SIMULATION OF INTRINSIC STRESS IN SILICON GERMANIUM FOR 3D NANO PMOSFETS

NEW ANALYTICAL MODEL AND SIMULATION OF INTRINSIC STRESS IN SILICON GERMANIUM FOR 3D NANO PMOSFETS International Journal of Control Theory and Computer Modeling (IJCTCM) Vol.3, No.2, March 213 NEW ANALYTICAL MODEL AND SIMULATION OF INTRINSIC STRESS IN SILICON GERMANIUM FOR 3D NANO PMOSFETS Abderrazzak

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

Negative Bias Temperature Instability (NBTI) Physics, Materials, Process, and Circuit Issues. Dieter K. Schroder Arizona State University Tempe, AZ

Negative Bias Temperature Instability (NBTI) Physics, Materials, Process, and Circuit Issues. Dieter K. Schroder Arizona State University Tempe, AZ Negative Bias Temperature Instability (NBTI) Physics, Materials, Process, and Circuit Issues Dieter K. Schroder Arizona State University Tempe, AZ Introduction What is NBTI? Material Issues Device Issues

More information

Comparative studies of Ge and Si p-channel metal oxide semiconductor field-effect-transistors with HfSiON dielectric and TaN metal gate

Comparative studies of Ge and Si p-channel metal oxide semiconductor field-effect-transistors with HfSiON dielectric and TaN metal gate Comparative studies of Ge and Si p-channel metal oxide semiconductor field-effect-transistors with HfSiON dielectric and TaN metal gate Hu Ai-Bin( 胡爱斌 ) and Xu Qiu-Xia( 徐秋霞 ) Institute of Microelectronics,

More information

Semi-insulating SiC substrates for high frequency devices

Semi-insulating SiC substrates for high frequency devices Klausurtagung Silberbach, 19. - 21. Feb. 2002 Institut für Werkstoffwissenschaften - WW 6 Semi-insulating SiC substrates for high frequency devices Vortrag von Matthias Bickermann Semi-insulating SiC substrates

More information

1 Name: Student number: DEPARTMENT OF PHYSICS AND PHYSICAL OCEANOGRAPHY MEMORIAL UNIVERSITY OF NEWFOUNDLAND. Fall :00-11:00

1 Name: Student number: DEPARTMENT OF PHYSICS AND PHYSICAL OCEANOGRAPHY MEMORIAL UNIVERSITY OF NEWFOUNDLAND. Fall :00-11:00 1 Name: DEPARTMENT OF PHYSICS AND PHYSICAL OCEANOGRAPHY MEMORIAL UNIVERSITY OF NEWFOUNDLAND Final Exam Physics 3000 December 11, 2012 Fall 2012 9:00-11:00 INSTRUCTIONS: 1. Answer all seven (7) questions.

More information

How a single defect can affect silicon nano-devices. Ted Thorbeck

How a single defect can affect silicon nano-devices. Ted Thorbeck How a single defect can affect silicon nano-devices Ted Thorbeck tedt@nist.gov The Big Idea As MOS-FETs continue to shrink, single atomic scale defects are beginning to affect device performance Gate Source

More information

Defense Technical Information Center Compilation Part Notice

Defense Technical Information Center Compilation Part Notice UNCLASSIFIED Defense Technical Information Center Compilation Part Notice ADP013351 TITLE: The Effects of Plasma Induced Damage on the Channel Layers of Ion Implanted GaAs MESFETs during Reactive Ion Etching

More information

! CMOS Process Enhancements. ! Semiconductor Physics. " Band gaps. " Field Effects. ! MOS Physics. " Cut-off. " Depletion.

! CMOS Process Enhancements. ! Semiconductor Physics.  Band gaps.  Field Effects. ! MOS Physics.  Cut-off.  Depletion. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 9, 019 MOS Transistor Theory, MOS Model Lecture Outline CMOS Process Enhancements Semiconductor Physics Band gaps Field Effects

More information

MOSFET: Introduction

MOSFET: Introduction E&CE 437 Integrated VLSI Systems MOS Transistor 1 of 30 MOSFET: Introduction Metal oxide semiconductor field effect transistor (MOSFET) or MOS is widely used for implementing digital designs Its major

More information

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials Plasma-Surface Interactions in Patterning High-k k Dielectric Materials October 11, 4 Feature Level Compensation and Control Seminar Jane P. Chang Department of Chemical Engineering University of California,

More information

Electric-Field Induced F - Migration in Self-Aligned InGaAs MOSFETs and Mitigation

Electric-Field Induced F - Migration in Self-Aligned InGaAs MOSFETs and Mitigation Electric-Field Induced F - Migration in Self-Aligned InGaAs MOSFETs and Mitigation X. Cai, J. Lin, D. A. Antoniadis and J. A. del Alamo Microsystems Technology Laboratories, MIT December 5, 2016 Sponsors:

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 12: Mechanics

More information

Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development

Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development Center for High Performance Power Electronics Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development Dr. Wu Lu (614-292-3462, lu.173@osu.edu) Dr. Siddharth Rajan

More information

Compound buried layer SOI high voltage device with a step buried oxide

Compound buried layer SOI high voltage device with a step buried oxide Compound buried layer SOI high voltage device with a step buried oxide Wang Yuan-Gang( ), Luo Xiao-Rong( ), Ge Rui( ), Wu Li-Juan( ), Chen Xi( ), Yao Guo-Liang( ), Lei Tian-Fei( ), Wang Qi( ), Fan Jie(

More information

Ion implantation Campbell, Chapter 5

Ion implantation Campbell, Chapter 5 Ion implantation Campbell, Chapter 5 background why ion implant? elastic collisions nuclear and electronic stopping ion ranges: projected and lateral channeling ion-induced damage and amorphization basic

More information

Supplementary Information Interfacial Engineering of Semiconductor Superconductor Junctions for High Performance Micro-Coolers

Supplementary Information Interfacial Engineering of Semiconductor Superconductor Junctions for High Performance Micro-Coolers Supplementary Information Interfacial Engineering of Semiconductor Superconductor Junctions for High Performance Micro-Coolers D. Gunnarsson 1, J.S. Richardson-Bullock 2, M.J. Prest 2, H. Q. Nguyen 3,

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

Introduction to Semiconductor Physics. Prof.P. Ravindran, Department of Physics, Central University of Tamil Nadu, India

Introduction to Semiconductor Physics. Prof.P. Ravindran, Department of Physics, Central University of Tamil Nadu, India Introduction to Semiconductor Physics 1 Prof.P. Ravindran, Department of Physics, Central University of Tamil Nadu, India http://folk.uio.no/ravi/cmp2013 Review of Semiconductor Physics Semiconductor fundamentals

More information