High aspect-ratio InGaAs FinFETs with sub-20 nm fin width

Size: px
Start display at page:

Download "High aspect-ratio InGaAs FinFETs with sub-20 nm fin width"

Transcription

1 High aspect-rati InGaAs FinFETs with sub-2 nm fin width Aln Vardi, Jianqiang Lin, Wenjie Lu, Xin Zha and Jesús A. del Alam Micrsystems Technlgy Labratries, MIT June 15, 216 Spnsrs: DTRA (HDTRA ), NSF E3S STC (grant #939514) Lam Research

2 Outline Mtivatin Prcess technlgy Electrical characteristics Late news Cnclusins 2

3 InGaAs planar Quantum-Well MOSFETs MIT MOSFETs del Alam, J-EDS 216 Superir electrn transprt prperties in InGaAs InGaAs planar MOSFET perfrmance exceeds that f High Electrn Mbility Transistrs (HEMT) 3

4 InGaAs planar Quantum-Well MOSFETs - shrt-channel effects S min (mv/dec) nm t c =12 nm t c V ds =.5 V L g (µm) Lin, IEDM 214 Shrt-channel effects limit scaling t L g ~4 nm 3D transistrs required fr further scaling 4

5 FinFETs Intel Si Trigate MOSFETs FinFETs are use in mdern state-f-the-art technlgies Gd balance f SCE and high ON current per ftprint 5

6 InGaAs FinFETs ~3 nm ~5 nm Thathachary, VLSI 215 Waldrn, VLSI 214 ~5 nm Radsavljevic,IEDM 211 Kim, IEDM 213 Kim, TED 214 Demnstratins t date: 25 nm, AR c 1 6

7 Gal: Sub-2 nm Self-aligned III-V FinFETs High -K HSQ SiO 2 W/M cap channel L g AR c = H c / AR f = H f / H c InAlAs H f Deeply scaled fin width, gate length and gate xide High channel t fin width aspect rati (AR c ) Self-aligned cntacts CMOS-cmpatible prcesses and materials in frntend 7

8 Fin definitin: Dry etch + Digital etch 25 nm 17 nm 1 nm BCl 3 /SiCl 4 /Ar RIE f InGaAs nanstructures with smth, vertical sidewalls and high aspect rati (>1) Digital etch (DE): self-limiting O 2 plasma xidatin + H 2 SO 4 xide remval 3 nm 8 nm 8

9 Device fabricatin 3 nm In.53 Ga.47 As, Si dped 3e19 cm -3 4 nm InP stpper 4 nm In.53 Ga.47 As, undped 5 nm In.52 Al.48 As Si δ-dping: 4e12 cm -2 In.52 Al.48 As buffer Highly dped cap 4 nm thick channel layer Delta dping underneath InP semi insulating substrate 9

10 Device fabricatin Sputtered W/M cntact L g directin directin SiO 2 CVD SiO 2 hard mask W/M cap channel InAlAs 1

11 Device fabricatin L g directin directin Sputtered W/M cntact CVD SiO SiO 2 hard mask 2 35 nm W/M Lg Gate lithgraphy Gate recess (Dry): cap channel SiO SiO 2 2 /W/M Active area definitin W/M InAlAs 11

12 Device fabricatin L g directin SiO 2 W/M cap channel Lg InAlAs directin SiO 2 W/M 35 nm Sputtered W/M cntact CVD SiO 2 hard mask Gate lithgraphy Gate recess (Dry): SiO 2 /W/M Active area definitin Gate recess (Wet): Cap etch L g 6 nm SiO 2 W/M InGaAs InP 2 nm 12

13 Device fabricatin HSQ H f H c 1 nm Sputtered M cntact CVD SiO 2 hard mask Gate lithgraphy Gate recess (Dry): SiO 2 /W/M Active area definitin Gate recess (Wet): Cap etch Fin Lithgraphy Fin etch 13

14 Device fabricatin HSQ High-k/M H f H c HSQ 1 nm M 2 nm Sputtered W/M cntact CVD SiO 2 hard mask Gate lithgraphy Gate recess (Dry): SiO 2 /W/M Active area definitin Gate recess (Wet): Cap etch Fin lithgraphy Fin etch Digital etching ALD gate dielectric depsitin M gate sputtering Duble gate FinFET Al 2 O 3 /HfO 2, EOT = 1 nm 14

15 Device fabricatin Via SiO 2 Fin pitch 2 nm 1-5 fins/device Gate hat Sputtered W/M cntact CVD SiO 2 hard mask Gate lithgraphy Gate recess (Dry): SiO 2 /W/M Active area definitin Gate recess (Wet): Cap etch Fin Lithgraphy Fin etch Digital etching ALD gate dielectric depsitin M gate sputtering Gate head pht and pattern ILD1 depsitin Via pening Pad frmatin 15

16 Lng channel characteristics, =22 nm, L g =2 μm 25 2 Al 2 O 3 /HfO 2 EOT = 1 nm V GS =.75 V 1E-4 1E-5 1E-6 V DS =5 mv 5 mv I d [µa/µm] V I d [A/µm] 1E-7 1E-8 S lin =68 mv/dec 5 V.25 V V DS [V] 1E-9 1E-1 DIBL~ V GS [V] S lin =68 mv/dec Negligible DIBL Gd electrstatic cntrl ver dry etched sidewalls 16

17 Shrt channel characteristics, =22 nm, L g =3 nm 12 V GS =.75V 1 16 H c I d [µa/µm] AR c ~ V DS [V] -.25 Current nrmalized by 2xH c g m,max = 1.4 ms/µm at V DS =.5 V R n =17 Ω µm -.5 I d [µa/µm] I d [A/µm] E-3 1E-4 1E-5 1E-6 1E-7 V DS =5mV =22 nm L g =3 nm V GS [V] V DS =5 mv DIBL=22 mv/v S=14 mv/dec 1E V GS [V] 5 mv =22 nm L g =3 nm g m [µs/µm]

18 Mst aggressively scaled device, =7 nm, L g =2 nm I d [µa/µm] 4 V GS =.75 V V DS [V] I d [A/µm] 1E-5 1E-6 1E-7 1E-8 1E-9 =7 nm L g =2 nm S=12 mv/dec DIBL~15 V DS =5 mv 1E V GS [V] 5 mv AR c ~6 Pr drive current Increased line edge rughness fr <1 nm 18

19 L g and scaling g m [µs/µm] g m,max at V DS =.5 V =22 nm =17 nm =12 nm =7 nm L g [nm] L g g m g m 19

20 g m [µs/µm] g m,max at V DS =.5 V =22 nm =17 nm =12 nm =7 nm L g [nm] L g g m g m S sat [mv/dec] L g and scaling S sat at V DS =.5 V 2 15 =22 nm =17 nm =12 nm =7 nm L g [nm] L nset f SCE 2

21 g m [µs/µm] g m,max at v DS =.5 V =22 nm =17 nm =12 nm =7 nm L g [nm] L g g m g m S min [mv/dec] L g and scaling S sat at V DS =.5 V 2 15 =22 nm =17 nm =12 nm =7 nm L g [nm] L nset f SCE I n [µa/µm] I n at I ff =1 na/μm I ff =1 na/µm c c c c =22 nm =17 nm =12 nm =7 nm L g [nm] L max I n c c 21

22 ON resistance scaling R n [Ω-µm] R n 35 =7 nm L g [nm] Fr all, R sd =1 Ω µm Extremely lw series resistance due t cntact first and self-aligned apprach 22

23 .8 V T rllff.6.4 V T [V] =7 nm =12 nm =17 nm =22 nm L g [nm] V T delta dping, quantizatin V T rllff line edge rughness? A. Vardi, IEDM

24 g m [ms/µm] Benchmark Physical g m : nrmalized by gate periphery Si FinFETs InGaAs FinFETs [nm] g m f Si ~ g m f III-V III-V FinFET AR c H c H C W F 24

25 g m [ms/µm] Benchmark Physical g m : nrmalized by gate periphery Si FinFETs MIT InGaAs FinFETs InGaAs FinFETs [nm] Our results: AR c >1 fr the first time in III-V Sub-2 nm g m 1.18 H c H C W F 25

26 g m [ms/µm] Benchmark Physical g m : Ftprint g m : nrmalized by fin width Si FinFETs MIT InGaAs FinFETs InGaAs FinFETs g m / [ms/µm] [nm] Fr g m / : Si FinFETs InGaAs FinFETs [nm] Si >> III-V MIT FinFETs > all ther III-V gd use f sidewall cnductance Our results imprve the state-f-art

27 Pst-submissin results I d [µa/µm] V GS =-.5 t.75 ΔV GS =.25 V I [A/µm] I d V DS =5 mv 5 mv S=1 mv/dec DIBL=9 mv/v I g V DS [V] =7 nm L g =3 nm EOT=.6 nm (HfO 2 ) g m [µs/µm] V GS [V] g m max =.9 ms/μm V DS =5 mv 5 mv V GS [V] 27

28 Benchmark with latest results g m [ms/µm] Physical g m : Ftprint g m : Si FinFETs [nm] 1 InGaAs FinFETs g m / [ms/µm] Si FinFETs EOT=1 nm (HfO 2 /Al 2 O 3 ) EOT=.6 nm (HfO 2 ) InGaAs FinFETs [nm] New recrd results fr sub-1 nm InGaAs FinFETs

29 Cnclusins Nvel self-aligned gate-last FinFET: Self-aligned gate t cntact metals CMOS prcess cmpatibility Sub-1 nm fin width AR c >1 fr the first time in III-V Duble-gate FinFET Outstanding perfrmance and shrt-channel effects in devices with L g =3 nm and =22 nm Demnstrated subthreshld swing f 68 mv/dec in lng channel devices 29

30 Thank yu! 3

Self-Aligned InGaAs FinFETs with 5-nm Fin-Width and 5-nm Gate-Contact Separation

Self-Aligned InGaAs FinFETs with 5-nm Fin-Width and 5-nm Gate-Contact Separation Self-Aligned InGaAs FinFETs with 5-nm Fin-Width and 5-nm Gate-Contact Separation Alon Vardi, Lisa Kong, Wenjie Lu, Xiaowei Cai, Xin Zhao, Jesús Grajal* and Jesús A. del Alamo Microsystems Technology Laboratories,

More information

Quantum-size effects in sub-10 nm fin width InGaAs finfets

Quantum-size effects in sub-10 nm fin width InGaAs finfets Quantum-size effects in sub-10 nm fin width InGaAs finfets Alon Vardi, Xin Zhao, and Jesús A. del Alamo Microsystems Technology Laboratories, MIT December 9, 2015 Sponsors: DTRA NSF (E3S STC) Northrop

More information

InGaAs Double-Gate Fin-Sidewall MOSFET

InGaAs Double-Gate Fin-Sidewall MOSFET InGaAs Double-Gate Fin-Sidewall MOSFET Alon Vardi, Xin Zhao and Jesús del Alamo Microsystems Technology Laboratories, MIT June 25, 214 Sponsors: Sematech, Technion-MIT Fellowship, and NSF E3S Center (#939514)

More information

III-V CMOS: What have we learned from HEMTs? J. A. del Alamo, D.-H. Kim 1, T.-W. Kim, D. Jin, and D. A. Antoniadis

III-V CMOS: What have we learned from HEMTs? J. A. del Alamo, D.-H. Kim 1, T.-W. Kim, D. Jin, and D. A. Antoniadis III-V CMOS: What have we learned from HEMTs? J. A. del Alamo, D.-H. Kim 1, T.-W. Kim, D. Jin, and D. A. Antoniadis Microsystems Technology Laboratories, MIT 1 presently with Teledyne Scientific 23rd International

More information

The Prospects for III-Vs

The Prospects for III-Vs 10 nm CMOS: The Prospects for III-Vs J. A. del Alamo, Dae-Hyun Kim 1, Donghyun Jin, and Taewoo Kim Microsystems Technology Laboratories, MIT 1 Presently with Teledyne Scientific 2010 European Materials

More information

Electric-Field Induced F - Migration in Self-Aligned InGaAs MOSFETs and Mitigation

Electric-Field Induced F - Migration in Self-Aligned InGaAs MOSFETs and Mitigation Electric-Field Induced F - Migration in Self-Aligned InGaAs MOSFETs and Mitigation X. Cai, J. Lin, D. A. Antoniadis and J. A. del Alamo Microsystems Technology Laboratories, MIT December 5, 2016 Sponsors:

More information

30 nm In 0.7 Ga 0.3 As Inverted-type HEMT with Reduced Gate Leakage Current for Logic Applications

30 nm In 0.7 Ga 0.3 As Inverted-type HEMT with Reduced Gate Leakage Current for Logic Applications 30 nm In 0.7 Ga 0.3 As Inverted-type HEMT with Reduced Gate Leakage Current for Logic Applications T.-W. Kim, D.-H. Kim* and J. A. del Alamo Microsystems Technology Laboratories MIT Presently with Teledyne

More information

Technology Development & Design for 22 nm InGaAs/InP-channel MOSFETs

Technology Development & Design for 22 nm InGaAs/InP-channel MOSFETs 2008 Indium Phosphide and Related Materials Conference, May, Versailles, France Technology Development & Design for 22 nm InGaAs/InP-channel MOSFETs M. Rodwell University of California, Santa Barbara M.

More information

Microsystems Technology Laboratories, MIT. Teledyne Scientific Company (TSC)

Microsystems Technology Laboratories, MIT. Teledyne Scientific Company (TSC) Extraction of Virtual-Source Injection Velocity in sub-100 nm III-V HFETs 1,2) D.-H. Kim, 1) J. A. del Alamo, 1) D. A. Antoniadis and 2) B. Brar 1) Microsystems Technology Laboratories, MIT 2) Teledyne

More information

The Pennsylvania State University. Kurt J. Lesker Company. North Carolina State University. Taiwan Semiconductor Manufacturing Company 1

The Pennsylvania State University. Kurt J. Lesker Company. North Carolina State University. Taiwan Semiconductor Manufacturing Company 1 Enhancement Mode Strained (1.3%) Germanium Quantum Well FinFET (W fin =20nm) with High Mobility (μ Hole =700 cm 2 /Vs), Low EOT (~0.7nm) on Bulk Silicon Substrate A. Agrawal 1, M. Barth 1, G. B. Rayner

More information

Ultra-Scaled InAs HEMTs

Ultra-Scaled InAs HEMTs Performance Analysis of Ultra-Scaled InAs HEMTs Neerav Kharche 1, Gerhard Klimeck 1, Dae-Hyun Kim 2,3, Jesús. A. del Alamo 2, and Mathieu Luisier 1 1 Network for Computational ti Nanotechnology and Birck

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 10/30/2007 MOSFETs Lecture 4 Reading: Chapter 17, 19 Announcements The next HW set is due on Thursday. Midterm 2 is next week!!!! Threshold and Subthreshold

More information

Performance Analysis of Ultra-Scaled InAs HEMTs

Performance Analysis of Ultra-Scaled InAs HEMTs Purdue University Purdue e-pubs Birck and NCN Publications Birck Nanotechnology Center 2009 Performance Analysis of Ultra-Scaled InAs HEMTs Neerav Kharche Birck Nanotechnology Center and Purdue University,

More information

Tri-Gate Fully-Depleted CMOS Transistors: Fabrication, Design and Layout

Tri-Gate Fully-Depleted CMOS Transistors: Fabrication, Design and Layout Tri-Gate Fully-Depleted CMOS Transistors: Fabrication, Design and Layout B.Doyle, J.Kavalieros, T. Linton, R.Rios B.Boyanov, S.Datta, M. Doczy, S.Hareland, B. Jin, R.Chau Logic Technology Development Intel

More information

Performance Enhancement of P-channel InGaAs Quantum-well FETs by Superposition of Process-induced Uniaxial Strain and Epitaxially-grown Biaxial Strain

Performance Enhancement of P-channel InGaAs Quantum-well FETs by Superposition of Process-induced Uniaxial Strain and Epitaxially-grown Biaxial Strain Performance Enhancement of P-channel InGaAs Quantum-well FETs by Superposition of Process-induced Uniaxial Strain and Epitaxially-grown Biaxial Strain Ling Xia 1, Vadim Tokranov 2, Serge R. Oktyabrsky

More information

Comparison of Ultra-Thin InAs and InGaAs Quantum Wells and Ultra-Thin-Body Surface-Channel MOSFETs

Comparison of Ultra-Thin InAs and InGaAs Quantum Wells and Ultra-Thin-Body Surface-Channel MOSFETs Comparison of Ultra-Thin InAs and InGaAs Quantum Wells and Ultra-Thin-Body Surface-Channel MOSFETs Cheng-Ying Huang 1, Sanghoon Lee 1, Evan Wilson 3, Pengyu Long 3, Michael Povolotskyi 3, Varistha Chobpattana

More information

Negative-Bias Temperature Instability (NBTI) of GaN MOSFETs

Negative-Bias Temperature Instability (NBTI) of GaN MOSFETs Negative-Bias Temperature Instability (NBTI) of GaN MOSFETs Alex Guo and Jesús A. del Alamo Microsystems Technology Laboratories (MTL) Massachusetts Institute of Technology (MIT) Cambridge, MA, USA Sponsor:

More information

Technology Development for InGaAs/InP-channel MOSFETs

Technology Development for InGaAs/InP-channel MOSFETs MRS Spring Symposium, Tutorial: Advanced CMOS Substrates, Devices, Reliability, and Characterization, April 13, 2009, San Francisco Technology Development for InGaAs/InP-channel MOSFETs Mark Rodwell University

More information

Scaling Issues in Planar FET: Dual Gate FET and FinFETs

Scaling Issues in Planar FET: Dual Gate FET and FinFETs Scaling Issues in Planar FET: Dual Gate FET and FinFETs Lecture 12 Dr. Amr Bayoumi Fall 2014 Advanced Devices (EC760) Arab Academy for Science and Technology - Cairo 1 Outline Scaling Issues for Planar

More information

III-V FET Channel Designs for High Current Densities and Thin Inversion Layers

III-V FET Channel Designs for High Current Densities and Thin Inversion Layers IEEE Device Research Cnference, June -3, Ntre Dae, Indiana III-V FET Channel Designs fr High Current Densities and Thin Inversin Layers Mark Rdwell University f Califrnia, Santa Barbara Cauthrs: W. Frensley:

More information

Components Research, TMG Intel Corporation *QinetiQ. Contact:

Components Research, TMG Intel Corporation *QinetiQ. Contact: 1 High-Performance 4nm Gate Length InSb P-Channel Compressively Strained Quantum Well Field Effect Transistors for Low-Power (V CC =.5V) Logic Applications M. Radosavljevic,, T. Ashley*, A. Andreev*, S.

More information

Multiple Gate CMOS and Beyond

Multiple Gate CMOS and Beyond Multiple CMOS and Beyond Dept. of EECS, KAIST Yang-Kyu Choi Outline 1. Ultimate Scaling of MOSFETs - 3nm Nanowire FET - 8nm Non-Volatile Memory Device 2. Multiple Functions of MOSFETs 3. Summary 2 CMOS

More information

Advanced and Emerging Devices: SEMATECH s Perspective

Advanced and Emerging Devices: SEMATECH s Perspective SEMATECH Symposium October 23, 2012 Seoul Accelerating the next technology revolution Advanced and Emerging Devices: SEMATECH s Perspective Paul Kirsch Director, FEP Division Copyright 2012 SEMATECH, Inc.

More information

Epitaxial graphene on SiC

Epitaxial graphene on SiC Epitaxial graphene n SiC A rute twards high-perfrmance electrnic devices Albert García Outline 1. GPNT: a brief intrductin 2. Graphene n SiC 3. Back-gated graphene 4. Cnclusins Outline 1. GPNT: a brief

More information

Recent Development of FinFET Technology for CMOS Logic and Memory

Recent Development of FinFET Technology for CMOS Logic and Memory Recent Development of FinFET Technology for CMOS Logic and Memory Chung-Hsun Lin EECS Department University of California at Berkeley Why FinFET Outline FinFET process Unique features of FinFET Mobility,

More information

EE410 vs. Advanced CMOS Structures

EE410 vs. Advanced CMOS Structures EE410 vs. Advanced CMOS Structures Prof. Krishna S Department of Electrical Engineering S 1 EE410 CMOS Structure P + poly-si N + poly-si Al/Si alloy LPCVD PSG P + P + N + N + PMOS N-substrate NMOS P-well

More information

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing EE115C Winter 2017 Digital Electronic Circuits Lecture 3: MOS RC Model, CMOS Manufacturing Agenda MOS Transistor: RC Model (pp. 104-113) S R on D CMOS Manufacturing Process (pp. 36-46) S S C GS G G C GD

More information

Reliability and Instability of GaN MIS-HEMTs for Power Electronics

Reliability and Instability of GaN MIS-HEMTs for Power Electronics Reliability and Instability of GaN MIS-HEMTs for Power Electronics Jesús A. del Alamo, Alex Guo and Shireen Warnock Microsystems Technology Laboratories Massachusetts Institute of Technology 2016 Fall

More information

Analysis of Band-to-band. Tunneling Structures. Title of Talk. Dimitri Antoniadis and Judy Hoyt (PIs) Jamie Teherani and Tao Yu (Students) 8/21/2012

Analysis of Band-to-band. Tunneling Structures. Title of Talk. Dimitri Antoniadis and Judy Hoyt (PIs) Jamie Teherani and Tao Yu (Students) 8/21/2012 1 Analysis of Band-to-band Title of Talk Tunneling Structures Dimitri Antoniadis and Judy Hoyt (PIs) Jamie Teherani and Tao Yu (Students) 8/21/2012 A Science & Technology Center Vertical Type-II TFET Structure

More information

1464 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 63, NO. 4, APRIL 2016

1464 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 63, NO. 4, APRIL 2016 1464 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 63, NO. 4, APRIL 2016 Analysis of Resistance and Mobility in InGaAs Quantum-Well MOSFETs From Ballistic to Diffusive Regimes Jianqiang Lin, Member, IEEE,

More information

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance 1 Seminar Spacer Lithography for Reduced Variability in MOSFET Performance Prof. Tsu-Jae King Liu Electrical Engineering & Computer Sciences Dept. University of California at Berkeley Graduate Student:

More information

Tunnel-FET: bridging the gap between prediction and experiment through calibration

Tunnel-FET: bridging the gap between prediction and experiment through calibration Tunnel-FET: bridging the gap between prediction and experiment through calibration Anne Verhulst Quentin Smets, Jasper Bizindavyi, Mazhar Mohammed, Devin Verreck, Salim El Kazzi, Alireza Alian, Yves Mols,

More information

Journal of Electron Devices, Vol. 18, 2013, pp JED [ISSN: ]

Journal of Electron Devices, Vol. 18, 2013, pp JED [ISSN: ] DrainCurrent-Id in linearscale(a/um) Id in logscale Journal of Electron Devices, Vol. 18, 2013, pp. 1582-1586 JED [ISSN: 1682-3427 ] SUITABILITY OF HIGH-k GATE DIELECTRICS ON THE DEVICE PERFORMANCE AND

More information

High Mobility Channel Impact On Device Performance High mobility materials (advantages) High Mobility - Low Leakage

High Mobility Channel Impact On Device Performance High mobility materials (advantages) High Mobility - Low Leakage High Mobility Channel Impact On Device Performance High mobility materials (advantages) High mobility materials (disadvantages) energy Low m* transport Increasing µ brings us closer to the ballistic limit

More information

A Multi-Gate CMOS Compact Model BSIMMG

A Multi-Gate CMOS Compact Model BSIMMG A Multi-Gate CMOS Compact Model BSIMMG Darsen Lu, Sriramkumar Venugopalan, Tanvir Morshed, Yogesh Singh Chauhan, Chung-Hsun Lin, Mohan Dunga, Ali Niknejad and Chenming Hu University of California, Berkeley

More information

Enhanced Mobility CMOS

Enhanced Mobility CMOS Enhanced Mobility CMOS Judy L. Hoyt I. Åberg, C. Ni Chléirigh, O. Olubuyide, J. Jung, S. Yu, E.A. Fitzgerald, and D.A. Antoniadis Microsystems Technology Laboratory MIT, Cambridge, MA 02139 Acknowledge

More information

Scaling Effects on Single-Event Transients in InGaAs FinFETs

Scaling Effects on Single-Event Transients in InGaAs FinFETs > REPLACE THIS LINE WITH YOUR PAPER IDENTIFICATION NUMBER (DOUBLE-CLICK HERE TO EDIT) < 1 Scaling Effects on Single-Event Transients in InGaAs FinFETs Huiqi Gong, Student Member, IEEE, Kai Ni, Student

More information

The Critical Role of Quantum Capacitance in Compact Modeling of Nano-Scaled and Nanoelectronic Devices

The Critical Role of Quantum Capacitance in Compact Modeling of Nano-Scaled and Nanoelectronic Devices The Critical Role of Quantum Capacitance in Compact Modeling of Nano-Scaled and Nanoelectronic Devices Zhiping Yu and Jinyu Zhang Institute of Microelectronics Tsinghua University, Beijing, China yuzhip@tsinghua.edu.cn

More information

Low Power FinFET ph-sensor with High-Sensitivity Voltage Readout

Low Power FinFET ph-sensor with High-Sensitivity Voltage Readout Low Power FinFET ph-sensor with High-Sensitivity Voltage Readout S. Rigante 1, P. Livi 2, M. Wipf 3, K. Bedner 4, D. Bouvet 1, A. Bazigos 1, A. Rusu 5, A. Hierlemann 2 and A.M. Ionescu 1 1 Nanoelectronic

More information

EE247B/ME218: Introduction to MEMS Design Lecture 7m1: Lithography, Etching, & Doping CTN 2/6/18

EE247B/ME218: Introduction to MEMS Design Lecture 7m1: Lithography, Etching, & Doping CTN 2/6/18 EE247B/ME218 Intrductin t MEMS Design Lecture 7m1 Lithgraphy, Etching, & Dping Dping f Semicnductrs Semicnductr Dping Semicnductrs are nt intrinsically cnductive T make them cnductive, replace silicn atms

More information

Electrical Degradation of InAlAs/InGaAs Metamorphic High-Electron Mobility Transistors

Electrical Degradation of InAlAs/InGaAs Metamorphic High-Electron Mobility Transistors Electrical Degradation of InAlAs/InGaAs Metamorphic High-Electron Mobility Transistors S. D. Mertens and J.A. del Alamo Massachusetts Institute of Technology Sponsor: Agilent Technologies Outline Introduction

More information

Lecture 02 CSE 40547/60547 Computing at the Nanoscale

Lecture 02 CSE 40547/60547 Computing at the Nanoscale PN Junctin Ntes: Lecture 02 CSE 40547/60547 Cmputing at the Nanscale Letʼs start with a (very) shrt review f semi-cnducting materials: - N-type material: Obtained by adding impurity with 5 valence elements

More information

EE143 LAB. Professor N Cheung, U.C. Berkeley

EE143 LAB. Professor N Cheung, U.C. Berkeley EE143 LAB 1 1 EE143 Equipment in Cory 218 2 Guidelines for Process Integration * A sequence of Additive and Subtractive steps with lateral patterning Processing Steps Si wafer Watch out for materials compatibility

More information

Lecture #27. The Short Channel Effect (SCE)

Lecture #27. The Short Channel Effect (SCE) Lecture #27 ANNOUNCEMENTS Design Project: Your BJT design should meet the performance specifications to within 10% at both 300K and 360K. ( β dc > 45, f T > 18 GHz, V A > 9 V and V punchthrough > 9 V )

More information

III-V Nanowire TFETs

III-V Nanowire TFETs III-V Nanowire TFETs Lars-Erik Wernersson Lund Univeristy, Sweden Final Workshop 10 November 2017 Energy Efficient Tunnel FET Switches and Circuits imec 1 OUTLINE Status of III-V NW TFETs TFET Variability

More information

PROGRESS AND ISSUES IN DIELECTRIC MATERIALS FOR SUB-100NM DRAM TECHNOLOGY ABSTRACT

PROGRESS AND ISSUES IN DIELECTRIC MATERIALS FOR SUB-100NM DRAM TECHNOLOGY ABSTRACT PROGRESS AND ISSUES IN DIELECTRIC MATERIALS FOR SUB-100NM DRAM TECHNOLOGY Kanta Saino Device Integration Group, Technology & Development Office, Elpida Memory Inc. 7-10 Yoshikawakogyodanchi, Higashihiroshima,

More information

ECE-305: Fall 2017 MOS Capacitors and Transistors

ECE-305: Fall 2017 MOS Capacitors and Transistors ECE-305: Fall 2017 MOS Capacitors and Transistors Pierret, Semiconductor Device Fundamentals (SDF) Chapters 15+16 (pp. 525-530, 563-599) Professor Peter Bermel Electrical and Computer Engineering Purdue

More information

296 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 65, NO. 1, JANUARY 2018

296 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 65, NO. 1, JANUARY 2018 296 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 65, NO. 1, JANUARY 2018 Scaling Effects on Single-Event Transients in InGaAs FinFETs Huiqi Gong, Student Member, IEEE, KaiNi, Student Member, IEEE, EnXiaZhang,

More information

Nanometer Transistors and Their Models. Jan M. Rabaey

Nanometer Transistors and Their Models. Jan M. Rabaey Nanometer Transistors and Their Models Jan M. Rabaey Chapter Outline Nanometer transistor behavior and models Sub-threshold currents and leakage Variability Device and technology innovations Nanometer

More information

Simple and accurate modeling of the 3D structural variations in FinFETs

Simple and accurate modeling of the 3D structural variations in FinFETs Simple and accurate modeling of the 3D structural variations in FinFETs Donghu Kim Electrical Engineering Program Graduate school of UNIST 2013 Simple and accurate modeling of the 3D structural variations

More information

Ultimately Scaled CMOS: DG FinFETs?

Ultimately Scaled CMOS: DG FinFETs? Ultimately Scaled CMOS: DG FinFETs? Jerry G. Fossum SOI Group Department of Electrical and Computer Engineering University of Florida Gainesville, FL 32611-6130 J. G. Fossum / 1 Outline Introduction -

More information

ECE 305 Exam 5 SOLUTIONS: Spring 2015 April 17, 2015 Mark Lundstrom Purdue University

ECE 305 Exam 5 SOLUTIONS: Spring 2015 April 17, 2015 Mark Lundstrom Purdue University NAME: PUID: : ECE 305 Exam 5 SOLUTIONS: April 17, 2015 Mark Lundstrom Purdue University This is a closed book exam. You may use a calculator and the formula sheet at the end of this exam. Following the

More information

ECE-305: Spring 2016 MOSFET IV

ECE-305: Spring 2016 MOSFET IV ECE-305: Spring 2016 MOSFET IV Professor Mark Lundstrom Electrical and Computer Engineering Purdue University, West Lafayette, IN USA lundstro@purdue.edu Lundstrom s lecture notes: Lecture 4 4/7/16 outline

More information

MOS Transistor Properties Review

MOS Transistor Properties Review MOS Transistor Properties Review 1 VLSI Chip Manufacturing Process Photolithography: transfer of mask patterns to the chip Diffusion or ion implantation: selective doping of Si substrate Oxidation: SiO

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

Emerging Applications for High K Materials in VLSI Technology

Emerging Applications for High K Materials in VLSI Technology Materials 2014, 7, 2913-2944; doi:10.3390/ma7042913 Review OPEN ACCESS materials ISSN 1996-1944 www.mdpi.com/journal/materials Emerging Applications for High K Materials in VLSI Technology Robert D. Clark

More information

Extending the Era of Moore s Law

Extending the Era of Moore s Law 14 nm chip X SEM from www.intel.com/content/dam/www/public/us/en/documents/pdf/foundry/mark bohr 2014 idf presentation.pdf Extending the Era of Moore s Law Tsu Jae King Liu Department of Electrical Engineering

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 23, 2018 MOS Transistor Theory, MOS Model Penn ESE 570 Spring 2018 Khanna Lecture Outline! CMOS Process Enhancements! Semiconductor

More information

ALD high-k and higher-k integration on GaAs

ALD high-k and higher-k integration on GaAs ALD high-k and higher-k integration on GaAs Ozhan Koybasi 1), Min Xu 1), Yiqun Liu 2), Jun-Jieh Wang 2), Roy G. Gordon 2), and Peide D. Ye 1)* 1) School of Electrical and Computer Engineering, Purdue University,

More information

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room).

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). The Final Exam will take place from 12:30PM to 3:30PM on Saturday May 12 in 60 Evans.» All of

More information

There s Plenty of Room at the Bottom and at the Top

There s Plenty of Room at the Bottom and at the Top 14 nm chip X SEM from www.intel.com/content/dam/www/public/us/en/documents/pdf/foundry/mark bohr 2014 idf presentation.pdf There s Plenty of Room at the Bottom and at the Top Tsu Jae King Liu Department

More information

! CMOS Process Enhancements. ! Semiconductor Physics. " Band gaps. " Field Effects. ! MOS Physics. " Cut-off. " Depletion.

! CMOS Process Enhancements. ! Semiconductor Physics.  Band gaps.  Field Effects. ! MOS Physics.  Cut-off.  Depletion. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 3, 018 MOS Transistor Theory, MOS Model Lecture Outline! CMOS Process Enhancements! Semiconductor Physics " Band gaps " Field Effects!

More information

S=0.7 [0.5x per 2 nodes] ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today. Scaling ITRS Roadmap

S=0.7 [0.5x per 2 nodes] ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today. Scaling ITRS Roadmap ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 15: October 4, 2013 Scaling Today VLSI Scaling Trends/Disciplines Effects Alternatives (cheating) 1 2 Scaling ITRS Roadmap

More information

Performance Comparisons of III-V and strained-si in Planar FETs and Non-planar FinFETs at Ultrashort Gate Length (12nm)

Performance Comparisons of III-V and strained-si in Planar FETs and Non-planar FinFETs at Ultrashort Gate Length (12nm) Purdue University Purdue e-pubs Birck and NCN Publications Birck Nanotechnology Center 4-212 Performance Comparisons of III-V and strained-si in Planar and Non-planar Fin at Ultrashort Gate Length (12nm)

More information

The Future of CMOS. David Pulfrey. CHRONOLOGY of the FET. Lecture Lilienfeld s patent (BG FET) 1965 Commercialization (Fairchild)

The Future of CMOS. David Pulfrey. CHRONOLOGY of the FET. Lecture Lilienfeld s patent (BG FET) 1965 Commercialization (Fairchild) The Future of CMOS David Pulfrey 1 CHRONOLOGY of the FET 1933 Lilienfeld s patent (BG FET) 1965 Commercialization (Fairchild) 1991 The most abundant object made by mankind (C.T. Sah) 2003 The 10 nm FET

More information

High Mobility Materials and Novel Device Structures for High Performance Nanoscale MOSFETs

High Mobility Materials and Novel Device Structures for High Performance Nanoscale MOSFETs High Mobility Materials and Novel Device Structures for High Performance Nanoscale MOSFETs Prof. (Dr.) Tejas Krishnamohan Department of Electrical Engineering Stanford University, CA & Intel Corporation

More information

Low Frequency Noise in MoS 2 Negative Capacitance Field-effect Transistor

Low Frequency Noise in MoS 2 Negative Capacitance Field-effect Transistor Low Frequency Noise in MoS Negative Capacitance Field-effect Transistor Sami Alghamdi, Mengwei Si, Lingming Yang, and Peide D. Ye* School of Electrical and Computer Engineering Purdue University West Lafayette,

More information

Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development

Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development Center for High Performance Power Electronics Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development Dr. Wu Lu (614-292-3462, lu.173@osu.edu) Dr. Siddharth Rajan

More information

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen Lecture 150 Basic IC Processes (10/10/01) Page 1501 LECTURE 150 BASIC IC PROCESSES (READING: TextSec. 2.2) INTRODUCTION Objective The objective of this presentation is: 1.) Introduce the fabrication of

More information

Si Nanowire FET Modeling and Technology

Si Nanowire FET Modeling and Technology Si Nanowire FET Modeling and Technology November 8, 2010 @ Peking University H. Iwai Tokyo Inst. Tech. 1 First Computer Eniac: made of huge number of vacuum tubes 1946 Big size, huge power, short life

More information

Lecture 3: Transistor as an thermonic switch

Lecture 3: Transistor as an thermonic switch Lecture 3: Transistor as an thermonic switch 2016-01-21 Lecture 3, High Speed Devices 2016 1 Lecture 3: Transistors as an thermionic switch Reading Guide: 54-57 in Jena Transistor metrics Reservoir equilibrium

More information

ECE 305: Fall MOSFET Energy Bands

ECE 305: Fall MOSFET Energy Bands ECE 305: Fall 2016 MOSFET Energy Bands Professor Peter Bermel Electrical and Computer Engineering Purdue University, West Lafayette, IN USA pbermel@purdue.edu Pierret, Semiconductor Device Fundamentals

More information

Time Dependent Dielectric Breakdown in High Voltage GaN MIS HEMTs: The Role of Temperature

Time Dependent Dielectric Breakdown in High Voltage GaN MIS HEMTs: The Role of Temperature Time Dependent Dielectric Breakdown in High Voltage GaN MIS HEMTs: The Role of Temperature Shireen Warnock, Allison Lemus, and Jesús A. del Alamo Microsystems Technology Laboratories (MTL) Massachusetts

More information

Graphene and new 2D materials: Opportunities for High Frequencies applications

Graphene and new 2D materials: Opportunities for High Frequencies applications Graphene and new 2D materials: Opportunities for High Frequencies applications April 21th, 2015 H. Happy, E. Pallecchi, B. Plaçais, D. Jiménez, R. Sordan, D. Neumaier Graphene Flagship WP4 HF electronic

More information

Modeling and Analysis of Total Leakage Currents in Nanoscale Double Gate Devices and Circuits

Modeling and Analysis of Total Leakage Currents in Nanoscale Double Gate Devices and Circuits Modeling and Analysis of Total Leakage Currents in Nanoscale Double Gate Devices and Circuits Saibal Mukhopadhyay 1, Keunwoo Kim, Ching-Te Chuang, and Kaushik Roy 1 1 Dept. of ECE, Purdue University, West

More information

Supporting Information for: Sustained sub-60 mv/decade switching via the negative capacitance effect in MoS 2 transistors

Supporting Information for: Sustained sub-60 mv/decade switching via the negative capacitance effect in MoS 2 transistors Supporting Information for: Sustained sub-60 mv/decade switching via the negative capacitance effect in MoS 2 transistors Felicia A. McGuire 1, Yuh-Chen Lin 1, Katherine Price 1, G. Bruce Rayner 2, Sourabh

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

EE105 Fall 2014 Microelectronic Devices and Circuits. NMOS Transistor Capacitances: Saturation Region

EE105 Fall 2014 Microelectronic Devices and Circuits. NMOS Transistor Capacitances: Saturation Region EE105 Fall 014 Microelectronic Devices and Circuits Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1 NMOS Transistor Capacitances: Saturation Region Drain no longer connected to channel

More information

N ano scale l S il ii lco i n B ased N o nvo lat l i atl ie l M em ory r Chungwoo Kim, Ph.D.

N ano scale l S il ii lco i n B ased N o nvo lat l i atl ie l M em ory r Chungwoo Kim, Ph.D. cw_kim@samsung.com Acknowledgements Collaboration Funding Outline Introduction Current research status Nano fabrication Process Nanoscale patterning SiN thin film Si Nanoparticle Nano devices Nanoscale

More information

SPCC Department of Bio-Nano Technology and 2 Materials Science and Chemical Engineering, Hanyang University, Ansan, 15588, Republic of Korea.

SPCC Department of Bio-Nano Technology and 2 Materials Science and Chemical Engineering, Hanyang University, Ansan, 15588, Republic of Korea. SPCC 2018 Hanyang University NEMPL Jin-Goo Park 1,2 *, Jung-Hwan Lee a, In-chan Choi 1, Hyun-Tae Kim 1, Lieve Teugels 3, and Tae-Gon Kim 3 1 Department of Bio-Nano Technology and 2 Materials Science and

More information

Lecture 9. Strained-Si Technology I: Device Physics

Lecture 9. Strained-Si Technology I: Device Physics Strain Analysis in Daily Life Lecture 9 Strained-Si Technology I: Device Physics Background Planar MOSFETs FinFETs Reading: Y. Sun, S. Thompson, T. Nishida, Strain Effects in Semiconductors, Springer,

More information

! CMOS Process Enhancements. ! Semiconductor Physics. " Band gaps. " Field Effects. ! MOS Physics. " Cut-off. " Depletion.

! CMOS Process Enhancements. ! Semiconductor Physics.  Band gaps.  Field Effects. ! MOS Physics.  Cut-off.  Depletion. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 9, 019 MOS Transistor Theory, MOS Model Lecture Outline CMOS Process Enhancements Semiconductor Physics Band gaps Field Effects

More information

How a single defect can affect silicon nano-devices. Ted Thorbeck

How a single defect can affect silicon nano-devices. Ted Thorbeck How a single defect can affect silicon nano-devices Ted Thorbeck tedt@nist.gov The Big Idea As MOS-FETs continue to shrink, single atomic scale defects are beginning to affect device performance Gate Source

More information

The Devices. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

The Devices. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic The Devices July 30, 2002 Goal of this chapter Present intuitive understanding of device operation Introduction

More information

Thin Film Transistors (TFT)

Thin Film Transistors (TFT) Thin Film Transistors (TFT) a-si TFT - α-si:h (Hydrogenated amorphous Si) deposited with a PECVD system (low temp. process) replaces the single crystal Si substrate. - Inverted staggered structure with

More information

EE 560 MOS TRANSISTOR THEORY PART 2. Kenneth R. Laker, University of Pennsylvania

EE 560 MOS TRANSISTOR THEORY PART 2. Kenneth R. Laker, University of Pennsylvania 1 EE 560 MOS TRANSISTOR THEORY PART nmos TRANSISTOR IN LINEAR REGION V S = 0 V G > V T0 channel SiO V D = small 4 C GC C BC substrate depletion region or bulk B p nmos TRANSISTOR AT EDGE OF SATURATION

More information

There's Plenty of Room at the Bottom

There's Plenty of Room at the Bottom There's Plenty of Room at the Bottom 12/29/1959 Feynman asked why not put the entire Encyclopedia Britannica (24 volumes) on a pin head (requires atomic scale recording). He proposed to use electron microscope

More information

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages:

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages: Ion Implantation alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages: mass separation allows wide varies of dopants dose control: diffusion

More information

III V compound semiconductors have recently emerged as

III V compound semiconductors have recently emerged as 1504 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 57, NO. 7, JULY 2010 Scalability of Sub-100 nm InAs HEMTs on InP Substrate for Future Logic Applications Dae-Hyun Kim and Jesús A. del Alamo, Fellow, IEEE

More information

Thermal behavior of Surface Mount Device (SMD) for Spicer case

Thermal behavior of Surface Mount Device (SMD) for Spicer case Thermal behavir f Surface Munt Device (SMD) fr Spicer case Sandip Kumar Saha, Frederik Rgiers, Martine Baelmans sandipkumar.saha@mech.kuleuven.be 3 th Octber 20 Outline Thermal analysis f existing Spicer

More information

Prospects for Ge MOSFETs

Prospects for Ge MOSFETs Prospects for Ge MOSFETs Sematech Workshop December 4, 2005 Dimitri A. Antoniadis Microsystems Technology Laboratories MIT Sematech Workshop 2005 1 Channel Transport - I D I D =WQ i (x 0 )v xo v xo : carrier

More information

Supporting information

Supporting information Supporting information Design, Modeling and Fabrication of CVD Grown MoS 2 Circuits with E-Mode FETs for Large-Area Electronics Lili Yu 1*, Dina El-Damak 1*, Ujwal Radhakrishna 1, Xi Ling 1, Ahmad Zubair

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 29, 2019 MOS Transistor Theory, MOS Model Penn ESE 570 Spring 2019 Khanna Lecture Outline! CMOS Process Enhancements! Semiconductor

More information

Modeling Random Variability of 16nm Bulk FinFETs

Modeling Random Variability of 16nm Bulk FinFETs Modeling Random Variability of 16nm Bulk FinFETs Victor Moroz, Qiang Lu, and Munkang Choi September 9, 2010 1 Outline 2 Outline 3 16nm Bulk FinFETs for 16nm Node Simulation domain 24nm fin pitch 56nm gate

More information

Characteristics of MOSFET with Non-overlapped Source-Drain to Gate

Characteristics of MOSFET with Non-overlapped Source-Drain to Gate IEICE TRANS. ELECTRON., VOL.E85 C, NO.5 MAY 2002 1079 PAPER Special Issue on Advanced Sub-0.1 µm CMOS Devices Characteristics of MOSFET with Non-overlapped Source-Drain to Gate Hyunjin LEE a), Nonmember,

More information

Physics and Modeling of FinFET and UTB-SOI MOSFETs

Physics and Modeling of FinFET and UTB-SOI MOSFETs 2017 Symposium on Nano Device Technology Session 1: Nano Devices and New Materials Physics and Modeling of FinFET and UTB-SOI MOSFETs -- using BSIM-MG as example Speaker: Darsen Lu, Assistant Prof. darsenlu@mail.ncku.edu.tw

More information

SECTION: Circle one: Alam Lundstrom. ECE 305 Exam 5 SOLUTIONS: Spring 2016 April 18, 2016 M. A. Alam and M.S. Lundstrom Purdue University

SECTION: Circle one: Alam Lundstrom. ECE 305 Exam 5 SOLUTIONS: Spring 2016 April 18, 2016 M. A. Alam and M.S. Lundstrom Purdue University NAME: PUID: SECTION: Circle one: Alam Lundstrom ECE 305 Exam 5 SOLUTIONS: April 18, 2016 M A Alam and MS Lundstrom Purdue University This is a closed book exam You may use a calculator and the formula

More information

EE-612: Lecture 22: CMOS Process Steps

EE-612: Lecture 22: CMOS Process Steps EE-612: Lecture 22: CMOS Process Steps Mark Lundstrom Electrical and Computer Engineering Purdue University West Lafayette, IN USA Fall 2006 NCN www.nanohub.org Lundstrom EE-612 F06 1 outline 1) Unit Process

More information

Chapter 5 MOSFET Theory for Submicron Technology

Chapter 5 MOSFET Theory for Submicron Technology Chapter 5 MOSFET Theory for Submicron Technology Short channel effects Other small geometry effects Parasitic components Velocity saturation/overshoot Hot carrier effects ** Majority of these notes are

More information

High-Performance Carbon Nanotube Transistors on SrTiO 3 /Si. Substrates

High-Performance Carbon Nanotube Transistors on SrTiO 3 /Si. Substrates 1 High-Performance Carbon Nanotube Transistors on SrTiO 3 /Si Substrates B. M. Kim a),b), T. Brintlinger a), E. Cobas a), Haimei Zheng c), and M. S. Fuhrer a),d) University of Maryland, College Park, Maryland

More information