Breakdown Characterization

Size: px
Start display at page:

Download "Breakdown Characterization"

Transcription

1 An Array-Based Test Circuit it for Fully Automated Gate Dielectric Breakdown Characterization John Keane, Shrinivas Venkatraman, Paulo Butzen*, and Chris H. Kim *State University of Rio Grande do Sul, Porto Alegre, Brazil University of Minnesota, Minneapolis 1

2 Outline of Presentation Introduction to Time Dependent Dielectric Breakdown (TDDB) Proposed TDDB Test Array Circuit Test Chip Calibration Breakdown Measurement Results Conclusions 2

3 Introduction to TDDB Traps generated under the influence of electric field Traps overlap Conductive path between gate and substrate Gate dielectric no longer a reliable insulator Parametric or functional failure 3

4 Progressive Dielectric Breakdown Ultra-thin dielectrics can experience soft breakdown without failing Focus shifts to monitoring current after 1st breakdown Designers & reliability engineers must settle on reliability metrics for circuits with different sensitivities A. Kerber, et al., IRPS, 2007 J. Stathis, CICT,

5 TDDB Impact on Digital Circuits Decreased performance & reliability, increased leakage, or outright failure Reduced O/P swing in digital logic can be restored in subsequent stages, but speed suffers SRAM SNM is degraded as a function of BD location R. Rodriguez, et al., IEDL, 2003 R. Rodriguez, et al., IEDL,

6 Statistical Characterization of TDDB Slope = β BD BD BD BD Breakdown related to generation of traps Deterministic : trap generation rate Statistical ti ti : critical trap density required for breakdown Failure statistics follow Weibull Distribution 1000s of sampled needed to characterize distribution E. Wu, et al., IBM J. of R & D,

7 TDDB Lifetime Prediction Classical Reliability Approach Extrapolate stress results with respect to: Operating Conditions based on acceleration models Larger Chip Areas based on Poisson area scaling Lower Percentiles based on Weibull distribution Today consider unique environmental variables 1st failure may not lead to chip failure, etc... G. Groeseneken, et al., IPFA

8 Prior TDDB Measurement Methods Individual device probing with expensive wafer probes; continuously monitor I GATE or V GATE of a single Device Under Test (DUT) per stress experiment. Karl proposed p a method to monitor the increase in gate leakage of a pair of DUTs whose gate voltage controls the frequency of a Schmitt Trigger Oscillator (ISSCC, 2008) 8

9 Proposed TDDB Measurement System FSM Row Periphe erals row<0:31 > Bitline COMPLETE E SCANOUT 32x32 array of stressed NMOS transistors Gate currents (I G ) measured with A/D current monitor and on-chip control logic 16b results scanned out and stored for post-processing Efficient collection of failure statistics by running a simple control program 9

10 TDDB Stress Cell Design col<m> I/O device core device VSTRESS FRESH Q VSTRESS D Q SEL DFF VSTRESS VSTRESS Q VSTRESS VCC Q row<n> SEL Stressed Device Facilitates accelerated stressing of the DUTs by using thick oxide I/O transistors in the supporting circuitry row<n> and col<m> signals used to select one cell FRESH signal used to gate off stress in any cell(s) 2 transmission gates cut off bitline leakage G 10

11 I G in stress cell A/D Current Monitor PRECHARGE V COMP V REF Analog Block I REF CLK MEASURE VCO 16b Counter Digital Block 16b Shift Register SCAN OUT Comparator output drops when V COMP falls to V REF Discharge rate is determined by I G plus I REF 16 bit counter runs at rate set by a VCO Less I G translates to a higher count result 11

12 Test Chip Implementation Technology 0.13µm CMOS Digital Supply 1.2V Dimensions 2 952x865µm2 Gate Resisistance Measurement Range ~1kΩ + Measurements automated with LabVIEW and a National Instruments t data acquisition iti board 12

13 Measurement Array Calibration COMP REF REF Ou utput Coun nt Result 1E+5 1E+05 1.E+05 1E+4 1.E+04 1E+3 1E+03 1.E+03 1E+2 1.E+02 1E+1 1.E+01 VCO Freq 0.90GHz 30ºC Count increases with decreasing discharge current EXT 1E+0 1E+3 1E+4 1E+5 1E+6 1E+7 1E+8 External Resistor (ohms) 1.E+00 1.E+03 1.E+04 1.E+05 1.E+06 1.E+07 1.E+08 Obtain final count vs. total discharge path resistance characteristic with adjustable R EXT while A/D monitor is isolated from the array Subsequent measurement results translated into gate path resistance (R GATE ) by using this calibration curve R TOTAL = R EXT R GATE (R EXT fixed during measurements) 13

14 TDDB Array Measurement Issues 1E+07 1.E Rmeasured MEASURE ED (ohms) 1.E+06 1E+05 1.E+05 1.E+04 1.E+03 1.E+02 1.E+02 1.E+03 1.E+04 1.E+05 1.E+06 1.E+07 R GATE (ohms) GATE/Rmeasu red error E MEASUR RED RG GATE A) IG (A Resistance of transmission gates on measurement path not accounted for in calibration Error < 1.4% for R GATE of 240kΩ+; I G up to 5μA at 1.2V. Small error in progressive breakdown (PBD) region Device probing measurements show hard BD at our stress voltage levels of interest with ~4Hz sampling 14

15 Broken % of Gates Measured T BD Distributions 120% 2 100% 80% 60% 40% 20% Stress Voltage o 0% -5 1E-1 1.E+001E+0 1.E+011E+1 1.E+021E+2 1.E+03 1E+3 1.E+04 1E+4 1.E T BD or T FAIL (s) BD FAIL T BD or T FAIL (ln(s)) CDFs of T BD for a range of stress voltages Standard percentage scale (left), and Weibull scale (right) The Weibull slope factor (β) for 4.2V stress was Slightly decreases for lower VSTRESS; increases at 4.3V Array-based design allows us to define an accurate CDF with a single test -F)) ln(-ln(

16 TDDB Measurement Results 1E+05 1.E+05 1.E+04 1.E+04 1E+03 1.E+03 1.E+03 BD 1.E+02 1E+01 1.E+01 1.E BD 1.E+02 1.E E E E E E-03 Exponential relationship of characteristic life with voltage In 30 O C to 100 O C range, TDDB follows Arrhenius behavior Careful studies of voltage and temperature acceleration required, particularly in advanced processes, where there has been some debate due to differing results 16

17 TDDB Area Scaling TBD at 63 3% (a.u.) BD Select smallest T BD from cluster of adjacent DUTs Measured results match well with the weakest-link theory Helps justify the use of Weibull statistics Can be used to determine β with fewer experiments Do stress experiments on DUTs with large area ratio Low sensitivity to statistical variation 17

18 Measured T BD Spatial Distribution ln(-ln(1- -F)) T BD or T FAIL (sec) Array format facilitates study of any spatial correlation 4 diagrams correspond to 4 divisions of the CDF, each representing 25% of the cells in a 20x20 array. No spatial correlation was detected 18

19 Conclusions Implemented a 32x32 array of TDDB test cells for efficient characterization of T BD statistics Stress cell design presented to avoid aging in supporting circuitry 16b result easily stored in spreadsheet for processing Reduces test time by a factor proportional p to # of DUTs Design is capable of tracking R GATE progression with 1.4% error up to the onset hard breakdown Measurement results from a number of stress conditions demonstrate circuit flexibility 19

Time Dependent Dielectric Breakdown in High Voltage GaN MIS HEMTs: The Role of Temperature

Time Dependent Dielectric Breakdown in High Voltage GaN MIS HEMTs: The Role of Temperature Time Dependent Dielectric Breakdown in High Voltage GaN MIS HEMTs: The Role of Temperature Shireen Warnock, Allison Lemus, and Jesús A. del Alamo Microsystems Technology Laboratories (MTL) Massachusetts

More information

OFF-state TDDB in High-Voltage GaN MIS-HEMTs

OFF-state TDDB in High-Voltage GaN MIS-HEMTs OFF-state TDDB in High-Voltage GaN MIS-HEMTs Shireen Warnock and Jesús A. del Alamo Microsystems Technology Laboratories (MTL) Massachusetts Institute of Technology (MIT) Purpose Further understanding

More information

Lecture 16: Circuit Pitfalls

Lecture 16: Circuit Pitfalls Introduction to CMOS VLSI Design Lecture 16: Circuit Pitfalls David Harris Harvey Mudd College Spring 2004 Outline Pitfalls Detective puzzle Given circuit and symptom, diagnose cause and recommend solution

More information

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories Digital Integrated Circuits A Design Perspective Semiconductor Chapter Overview Memory Classification Memory Architectures The Memory Core Periphery Reliability Case Studies Semiconductor Memory Classification

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective Semiconductor Memories Adapted from Chapter 12 of Digital Integrated Circuits A Design Perspective Jan M. Rabaey et al. Copyright 2003 Prentice Hall/Pearson Outline Memory Classification Memory Architectures

More information

The Physics of Soft-Breakdown and its Implications for Integrated Circuits

The Physics of Soft-Breakdown and its Implications for Integrated Circuits The Physics of Soft-Breakdown and its Implications for Integrated Circuits Muhammad Ashraful Alam in collaboration with B. Weir, P. Silverman, and R. K. Smith Agere Systems, PA 18109 What is Soft-Breakdown

More information

Semiconductor Memories

Semiconductor Memories Semiconductor References: Adapted from: Digital Integrated Circuits: A Design Perspective, J. Rabaey UCB Principles of CMOS VLSI Design: A Systems Perspective, 2nd Ed., N. H. E. Weste and K. Eshraghian

More information

CONSTANT CURRENT STRESS OF ULTRATHIN GATE DIELECTRICS

CONSTANT CURRENT STRESS OF ULTRATHIN GATE DIELECTRICS CONSTANT CURRENT STRESS OF ULTRATHIN GATE DIELECTRICS Y. Sun School of Electrical & Electronic Engineering Nayang Technological University Nanyang Avenue, Singapore 639798 e-mail: 14794258@ntu.edu.sg Keywords:

More information

EE 560 CHIP INPUT AND OUTPUT (I/0) CIRCUITS. Kenneth R. Laker, University of Pennsylvania

EE 560 CHIP INPUT AND OUTPUT (I/0) CIRCUITS. Kenneth R. Laker, University of Pennsylvania 1 EE 560 CHIP INPUT AND OUTPUT (I/0) CIRCUITS 2 -> ESD PROTECTION CIRCUITS (INPUT PADS) -> ON-CHIP CLOCK GENERATION & DISTRIBUTION -> OUTPUT PADS -> ON-CHIP NOISE DUE TO PARASITIC INDUCTANCE -> SUPER BUFFER

More information

Homework 6: Gate Dielectric Breakdown. Muhammad Ashraful Alam Network of Computational Nanotechnology Discovery Park, Purdue University.

Homework 6: Gate Dielectric Breakdown. Muhammad Ashraful Alam Network of Computational Nanotechnology Discovery Park, Purdue University. Homework 6: Gate Dielectric Breakdown Muhammad Ashraful Alam Network of Computational Nanotechnology Discovery Park, Purdue University. In Lectures 21-26, we have discussed how thin-oxides break. Three

More information

Hw 6 and 7 Graded and available Project Phase 2 Graded Project Phase 3 Launch Today

Hw 6 and 7 Graded and available Project Phase 2 Graded Project Phase 3 Launch Today EECS141 1 Hw 8 Posted Last one to be graded Due Friday April 30 Hw 6 and 7 Graded and available Project Phase 2 Graded Project Phase 3 Launch Today EECS141 2 1 6 5 4 3 2 1 0 1.5 2 2.5 3 3.5 4 Frequency

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 18: March 27, 2018 Dynamic Logic, Charge Injection Lecture Outline! Sequential MOS Logic " D-Latch " Timing Constraints! Dynamic Logic " Domino

More information

Semiconductor Reliability

Semiconductor Reliability Semiconductor Reliability. Semiconductor Device Failure Region Below figure shows the time-dependent change in the semiconductor device failure rate. Discussions on failure rate change in time often classify

More information

MODEL MECHANISM OF CMOS DEVICE FOR RELIBILITY ENHANCEMENT

MODEL MECHANISM OF CMOS DEVICE FOR RELIBILITY ENHANCEMENT MODEL MECHANISM OF CMOS DEVICE FOR RELIBILITY ENHANCEMENT Sandeep Lalawat and Prof.Y.S.Thakur lalawat_er2007@yahoo.co.in,ystgecu@yahoo.co.in Abstract This paper present specific device level life time

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 19: March 29, 2018 Memory Overview, Memory Core Cells Today! Charge Leakage/Charge Sharing " Domino Logic Design Considerations! Logic Comparisons!

More information

Scalable Methods for the Analysis and Optimization of Gate Oxide Breakdown

Scalable Methods for the Analysis and Optimization of Gate Oxide Breakdown Scalable Methods for the Analysis and Optimization of Gate Oxide Breakdown Jianxin Fang, Sachin S. Sapatnekar Department of ECE, University of Minnesota {fang6,sachin}@umn.edu Abstract In this paper we

More information

! Memory. " RAM Memory. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell. " Used in most commercial chips

! Memory.  RAM Memory. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell.  Used in most commercial chips ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 3, 8 Memory: Core Cells Today! Memory " RAM Memory " Architecture " Memory core " SRAM " DRAM " Periphery Penn ESE 57 Spring 8 - Khanna

More information

21. LECTURE 21: INTRODUCTION TO DIELECTRIC BREAKDOWN

21. LECTURE 21: INTRODUCTION TO DIELECTRIC BREAKDOWN 98 21. LECTURE 21: INTRODUCTION TO DIELECTRIC BREAKDOWN 21.1 Review/Background This class is an introduction to Time Dependent Dielectric Breakdown (TDDB). In the following 9 chapters, we will discuss

More information

Lecture 16: Circuit Pitfalls

Lecture 16: Circuit Pitfalls Lecture 16: Circuit Pitfalls Outline Variation Noise Budgets Reliability Circuit Pitfalls 2 Variation Process Threshold Channel length Interconnect dimensions Environment Voltage Temperature Aging / Wearout

More information

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University EE 466/586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 8 Power Dissipation in CMOS Gates Power in CMOS gates Dynamic Power Capacitance switching Crowbar

More information

Semiconductor memories

Semiconductor memories Semiconductor memories Semiconductor Memories Data in Write Memory cell Read Data out Some design issues : How many cells? Function? Power consuption? Access type? How fast are read/write operations? Semiconductor

More information

EECS150 - Digital Design Lecture 26 - Faults and Error Correction. Types of Faults in Digital Designs

EECS150 - Digital Design Lecture 26 - Faults and Error Correction. Types of Faults in Digital Designs EECS150 - Digital Design Lecture 26 - Faults and Error Correction April 25, 2013 John Wawrzynek 1 Types of Faults in Digital Designs Design Bugs (function, timing, power draw) detected and corrected at

More information

Topics. Dynamic CMOS Sequential Design Memory and Control. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut

Topics. Dynamic CMOS Sequential Design Memory and Control. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut Topics Dynamic CMOS Sequential Design Memory and Control Dynamic CMOS In static circuits at every point in time (except when switching) the output is connected to either GND or V DD via a low resistance

More information

23.0 Review Introduction

23.0 Review Introduction EE650R: Reliability Physics of Nanoelectronic Devices Lecture 23: TDDB: Measurement of bulk trap density Date: Nov 13 2006 Classnotes: Dhanoop Varghese Review: Nauman Z Butt 23.0 Review In the last few

More information

! Charge Leakage/Charge Sharing. " Domino Logic Design Considerations. ! Logic Comparisons. ! Memory. " Classification. " ROM Memories.

! Charge Leakage/Charge Sharing.  Domino Logic Design Considerations. ! Logic Comparisons. ! Memory.  Classification.  ROM Memories. ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec 9: March 9, 8 Memory Overview, Memory Core Cells Today! Charge Leakage/ " Domino Logic Design Considerations! Logic Comparisons! Memory " Classification

More information

Hold Time Illustrations

Hold Time Illustrations Hold Time Illustrations EE213-L09-Sequential Logic.1 Pingqiang, ShanghaiTech, 2018 Hold Time Illustrations EE213-L09-Sequential Logic.2 Pingqiang, ShanghaiTech, 2018 Hold Time Illustrations EE213-L09-Sequential

More information

ECE-470 Digital Design II Memory Test. Memory Cells Per Chip. Failure Mechanisms. Motivation. Test Time in Seconds (Memory Size: n Bits) Fault Types

ECE-470 Digital Design II Memory Test. Memory Cells Per Chip. Failure Mechanisms. Motivation. Test Time in Seconds (Memory Size: n Bits) Fault Types ECE-470 Digital Design II Memory Test Motivation Semiconductor memories are about 35% of the entire semiconductor market Memories are the most numerous IPs used in SOC designs Number of bits per chip continues

More information

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo Digital Integrated Circuits Designing Combinational Logic Circuits Fuyuzhuo Introduction Digital IC Dynamic Logic Introduction Digital IC EE141 2 Dynamic logic outline Dynamic logic principle Dynamic logic

More information

Advanced Testing. EE5375 ADD II Prof. MacDonald

Advanced Testing. EE5375 ADD II Prof. MacDonald Advanced Testing EE5375 ADD II Prof. MacDonald Functional Testing l Original testing method l Run chip from reset l Tester emulates the outside world l Chip runs functionally with internally generated

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 21: April 4, 2017 Memory Overview, Memory Core Cells Penn ESE 570 Spring 2017 Khanna Today! Memory " Classification " ROM Memories " RAM Memory

More information

UNISONIC TECHNOLOGIES CO., LTD L16B45 Preliminary CMOS IC

UNISONIC TECHNOLOGIES CO., LTD L16B45 Preliminary CMOS IC UNISONIC TECHNOLOGIES CO., LTD L16B45 Preliminary CMOS IC 16-BIT CONSTANT CURRENT LED SINK DRIVER DESCRIPTION The UTC L16B45 is designed for LED displays. UTC L16B45 contains a serial buffer and data latches

More information

Reliability and Instability of GaN MIS-HEMTs for Power Electronics

Reliability and Instability of GaN MIS-HEMTs for Power Electronics Reliability and Instability of GaN MIS-HEMTs for Power Electronics Jesús A. del Alamo, Alex Guo and Shireen Warnock Microsystems Technology Laboratories Massachusetts Institute of Technology 2016 Fall

More information

SEMICONDUCTOR MEMORIES

SEMICONDUCTOR MEMORIES SEMICONDUCTOR MEMORIES Semiconductor Memory Classification RWM NVRWM ROM Random Access Non-Random Access EPROM E 2 PROM Mask-Programmed Programmable (PROM) SRAM FIFO FLASH DRAM LIFO Shift Register CAM

More information

Power Dissipation. Where Does Power Go in CMOS?

Power Dissipation. Where Does Power Go in CMOS? Power Dissipation [Adapted from Chapter 5 of Digital Integrated Circuits, 2003, J. Rabaey et al.] Where Does Power Go in CMOS? Dynamic Power Consumption Charging and Discharging Capacitors Short Circuit

More information

Homework 2 due on Wednesday Quiz #2 on Wednesday Midterm project report due next Week (4 pages)

Homework 2 due on Wednesday Quiz #2 on Wednesday Midterm project report due next Week (4 pages) EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 12: SRAM Design ECC Timing Announcements Homework 2 due on Wednesday Quiz #2 on Wednesday Midterm project report due next Week (4 pages)

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective Digital Integrated Circuits Design Perspective Designing Combinational Logic Circuits Fuyuzhuo School of Microelectronics,SJTU Introduction Digital IC Dynamic Logic Introduction Digital IC 2 EE141 Dynamic

More information

Magnetic core memory (1951) cm 2 ( bit)

Magnetic core memory (1951) cm 2 ( bit) Magnetic core memory (1951) 16 16 cm 2 (128 128 bit) Semiconductor Memory Classification Read-Write Memory Non-Volatile Read-Write Memory Read-Only Memory Random Access Non-Random Access EPROM E 2 PROM

More information

Semiconductor Memory Classification

Semiconductor Memory Classification Semiconductor Memory Classification Read-Write Memory Non-Volatile Read-Write Memory Read-Only Memory Random Access Non-Random Access EPROM E 2 PROM Mask-Programmed Programmable (PROM) SRAM FIFO FLASH

More information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. References

EE241 - Spring 2000 Advanced Digital Integrated Circuits. References EE241 - Spring 2000 Advanced Digital Integrated Circuits Lecture 26 Memory References Rabaey, Digital Integrated Circuits Memory Design and Evolution, VLSI Circuits Short Course, 1998.» Gillingham, Evolution

More information

EE141- Fall 2002 Lecture 27. Memory EE141. Announcements. We finished all the labs No homework this week Projects are due next Tuesday 9am EE141

EE141- Fall 2002 Lecture 27. Memory EE141. Announcements. We finished all the labs No homework this week Projects are due next Tuesday 9am EE141 - Fall 2002 Lecture 27 Memory Announcements We finished all the labs No homework this week Projects are due next Tuesday 9am 1 Today s Lecture Memory:» SRAM» DRAM» Flash Memory 2 Floating-gate transistor

More information

Name: Answers. Mean: 83, Standard Deviation: 12 Q1 Q2 Q3 Q4 Q5 Q6 Total. ESE370 Fall 2015

Name: Answers. Mean: 83, Standard Deviation: 12 Q1 Q2 Q3 Q4 Q5 Q6 Total. ESE370 Fall 2015 University of Pennsylvania Department of Electrical and System Engineering Circuit-Level Modeling, Design, and Optimization for Digital Systems ESE370, Fall 2015 Final Tuesday, December 15 Problem weightings

More information

Chapter Overview. Memory Classification. Memory Architectures. The Memory Core. Periphery. Reliability. Memory

Chapter Overview. Memory Classification. Memory Architectures. The Memory Core. Periphery. Reliability. Memory SRAM Design Chapter Overview Classification Architectures The Core Periphery Reliability Semiconductor Classification RWM NVRWM ROM Random Access Non-Random Access EPROM E 2 PROM Mask-Programmed Programmable

More information

THE INVERTER. Inverter

THE INVERTER. Inverter THE INVERTER DIGITAL GATES Fundamental Parameters Functionality Reliability, Robustness Area Performance» Speed (delay)» Power Consumption» Energy Noise in Digital Integrated Circuits v(t) V DD i(t) (a)

More information

30. BREAKDOWN IN DIELECTRICS WITH DEFECTS

30. BREAKDOWN IN DIELECTRICS WITH DEFECTS 127 30. BREAKDOWN IN DIELECTRICS WITH DEFECTS 30.1 Review/Background Breakdown in dielectrics has always been an important problem with a broad range of physical and technological implications. The physics

More information

Advanced Technique for Dielectric Analyses

Advanced Technique for Dielectric Analyses Chroma Systems Solutions, Inc. Advanced Technique for Dielectric Analyses 190xx Series Hipot Testers Keywords: Dielectric Analyses, Destructive testing, Threshold Detection, Breakdown, Test Damage. Title:

More information

Introduction to Reliability Simulation with EKV Device Model

Introduction to Reliability Simulation with EKV Device Model Introduction to Reliability Simulation with Device Model Benoît Mongellaz Laboratoire IXL ENSEIRB - Université Bordeaux 1 - UMR CNRS 5818 Workshop november 4-5th, Lausanne 1 Motivation & Goal Introduced

More information

Lecture 25. Semiconductor Memories. Issues in Memory

Lecture 25. Semiconductor Memories. Issues in Memory Lecture 25 Semiconductor Memories Issues in Memory Memory Classification Memory Architectures TheMemoryCore Periphery 1 Semiconductor Memory Classification RWM NVRWM ROM Random Access Non-Random Access

More information

CMPE12 - Notes chapter 1. Digital Logic. (Textbook Chapter 3)

CMPE12 - Notes chapter 1. Digital Logic. (Textbook Chapter 3) CMPE12 - Notes chapter 1 Digital Logic (Textbook Chapter 3) Transistor: Building Block of Computers Microprocessors contain TONS of transistors Intel Montecito (2005): 1.72 billion Intel Pentium 4 (2000):

More information

Switched-Capacitor Circuits David Johns and Ken Martin University of Toronto

Switched-Capacitor Circuits David Johns and Ken Martin University of Toronto Switched-Capacitor Circuits David Johns and Ken Martin University of Toronto (johns@eecg.toronto.edu) (martin@eecg.toronto.edu) University of Toronto 1 of 60 Basic Building Blocks Opamps Ideal opamps usually

More information

Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling

Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling Victor Moroz *, Munkang Choi *, Geert Van der Plas, Paul Marchal, Kristof Croes, and Eric Beyne * Motivation: Build Reliable 3D IC

More information

EE650R: Reliability Physics of Nanoelectronic Devices Lecture 18: A Broad Introduction to Dielectric Breakdown Date:

EE650R: Reliability Physics of Nanoelectronic Devices Lecture 18: A Broad Introduction to Dielectric Breakdown Date: EE650R: Reliability Physics of Nanoelectronic Devices Lecture 18: A Broad Introduction to Dielectric Breakdown Date: Nov 1, 2006 ClassNotes: Jing Li Review: Sayeef Salahuddin 18.1 Review As discussed before,

More information

Lecture 5 Fault Modeling

Lecture 5 Fault Modeling Lecture 5 Fault Modeling Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults Single stuck-at faults Fault equivalence Fault dominance and checkpoint theorem Classes

More information

MM74C912 6-Digit BCD Display Controller/Driver

MM74C912 6-Digit BCD Display Controller/Driver 6-Digit BCD Display Controller/Driver General Description The display controllers are interface elements, with memory, that drive a 6-digit, 8-segment LED display. The display controllers receive data

More information

Miniature Electronically Trimmable Capacitor V DD. Maxim Integrated Products 1

Miniature Electronically Trimmable Capacitor V DD. Maxim Integrated Products 1 19-1948; Rev 1; 3/01 Miniature Electronically Trimmable Capacitor General Description The is a fine-line (geometry) electronically trimmable capacitor (FLECAP) programmable through a simple digital interface.

More information

23.0 Introduction Review

23.0 Introduction Review ECE 650R: Reliability Physics of Nanoelectronic Devices Lecture 22: TDDB Statistics Date: Nov. 0, 2006 Class Notes: Lutfe Siddiqui Review: Saakshi Gangwal 23.0 Introduction Time dependent dielectric breakdown

More information

CMOS Digital Integrated Circuits Lec 13 Semiconductor Memories

CMOS Digital Integrated Circuits Lec 13 Semiconductor Memories Lec 13 Semiconductor Memories 1 Semiconductor Memory Types Semiconductor Memories Read/Write (R/W) Memory or Random Access Memory (RAM) Read-Only Memory (ROM) Dynamic RAM (DRAM) Static RAM (SRAM) 1. Mask

More information

Automotive Grade Silicon Capacitors for Under the Hood Applications

Automotive Grade Silicon Capacitors for Under the Hood Applications Automotive Grade Silicon Capacitors for Under the Hood Applications Sébastien Jacqueline, Laurent Lengignon, Laëtitia Omnès IPDiA, 2 rue de la Girafe, 14000 Caen, France laetitia.omnes@ipdia.com, +33 (0)

More information

Last Lecture. Power Dissipation CMOS Scaling. EECS 141 S02 Lecture 8

Last Lecture. Power Dissipation CMOS Scaling. EECS 141 S02 Lecture 8 EECS 141 S02 Lecture 8 Power Dissipation CMOS Scaling Last Lecture CMOS Inverter loading Switching Performance Evaluation Design optimization Inverter Sizing 1 Today CMOS Inverter power dissipation» Dynamic»

More information

University of Toronto. Final Exam

University of Toronto. Final Exam University of Toronto Final Exam Date - Apr 18, 011 Duration:.5 hrs ECE334 Digital Electronics Lecturer - D. Johns ANSWER QUESTIONS ON THESE SHEETS USING BACKS IF NECESSARY 1. Equation sheet is on last

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Designing Sequential Logic Circuits November 2002 Sequential Logic Inputs Current State COMBINATIONAL

More information

Characterization of Charge Trapping and Dielectric Breakdown of HfAlOx/SiON Dielectric Gate Stack

Characterization of Charge Trapping and Dielectric Breakdown of HfAlOx/SiON Dielectric Gate Stack Characterization of Charge Trapping and Dielectric Breakdown of HfAlOx/SiON Dielectric Gate Stack Y. Pei, S. Nagamachi, H. Murakami, S. Higashi, S. Miyazaki, T. Kawahara and K. Torii Graduate School of

More information

System-Level Modeling and Microprocessor Reliability Analysis for Backend Wearout Mechanisms

System-Level Modeling and Microprocessor Reliability Analysis for Backend Wearout Mechanisms System-Level Modeling and Microprocessor Reliability Analysis for Backend Wearout Mechanisms Chang-Chih Chen and Linda Milor School of Electrical and Comptuer Engineering, Georgia Institute of Technology,

More information

The drive to make devices smaller and faster

The drive to make devices smaller and faster Parametric Measurement Issues with 100 nm CMOS LARRY DANGREMOND, Cascade Microtech, Inc., Beaverton, OR, USA A BSTRACT The drive to make devices smaller and faster continues. CMOS geometries are driving

More information

Reliability Testing. Process-Related Reliability Tests. Quality and Reliability Report. Non-Volatile Memory Cycling Endurance

Reliability Testing. Process-Related Reliability Tests. Quality and Reliability Report. Non-Volatile Memory Cycling Endurance Reliability Testing The purpose of reliability testing is to ensure that products are properly designed and assembled by subjecting them to stress conditions that accelerate potential failure mechanisms.

More information

EECS150 - Digital Design Lecture 26 Faults and Error Correction. Recap

EECS150 - Digital Design Lecture 26 Faults and Error Correction. Recap EECS150 - Digital Design Lecture 26 Faults and Error Correction Nov. 26, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof.

More information

MTJ-Based Nonvolatile Logic-in-Memory Architecture and Its Application

MTJ-Based Nonvolatile Logic-in-Memory Architecture and Its Application 2011 11th Non-Volatile Memory Technology Symposium @ Shanghai, China, Nov. 9, 20112 MTJ-Based Nonvolatile Logic-in-Memory Architecture and Its Application Takahiro Hanyu 1,3, S. Matsunaga 1, D. Suzuki

More information

Aerospace Performances of IPDiA -250 C up to 250 C Grade Silicon Capacitors

Aerospace Performances of IPDiA -250 C up to 250 C Grade Silicon Capacitors Aerospace Performances of IPDiA -250 C up to 250 C Grade Silicon Capacitors Laurent Lengignon, IPDiA, 2 rue de la Girafe, 14000 Caen, France Alter Technology, Madrid, Spain, Demetrio Lopez ESA/ESTEC, Noordwijk,

More information

Where Does Power Go in CMOS?

Where Does Power Go in CMOS? Power Dissipation Where Does Power Go in CMOS? Dynamic Power Consumption Charging and Discharging Capacitors Short Circuit Currents Short Circuit Path between Supply Rails during Switching Leakage Leaking

More information

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering TIMING ANALYSIS Overview Circuits do not respond instantaneously to input changes

More information

Lecture 15: Scaling & Economics

Lecture 15: Scaling & Economics Lecture 15: Scaling & Economics Outline Scaling Transistors Interconnect Future Challenges Economics 2 Moore s Law Recall that Moore s Law has been driving CMOS [Moore65] Corollary: clock speeds have improved

More information

Successive approximation time-to-digital converter based on vernier charging method

Successive approximation time-to-digital converter based on vernier charging method LETTER Successive approximation time-to-digital converter based on vernier charging method Xin-Gang Wang 1, 2, Hai-Gang Yang 1a), Fei Wang 1, and Hui-He 2 1 Institute of Electronics, Chinese Academy of

More information

Future trends in radiation hard electronics

Future trends in radiation hard electronics Future trends in radiation hard electronics F. Faccio CERN, Geneva, Switzerland Outline Radiation effects in CMOS technologies Deep submicron CMOS for radiation environments What is the future going to

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 23: April 17, 2018 I/O Circuits, Inductive Noise, CLK Generation Lecture Outline! Packaging! Variation and Testing! I/O Circuits! Inductive

More information

And device degradation. Slide 1

And device degradation. Slide 1 And device degradation Slide 1 Z. Guo, ISSSCC 2018 Inter-die Variation & Cell Failures Failures in SRAM Array Overall Cell Failure: [ ] P = P Fail = P A! R! W! H F F F F F P MEM Redundant Columns PASS

More information

Digital Integrated Circuits 2nd Inverter

Digital Integrated Circuits 2nd Inverter Digital Integrated Circuits The Inverter The CMOS Inverter V DD Analysis Inverter complex gate Cost V in V out complexity & Area Integrity and robustness C L Static behavior Performance Dynamic response

More information

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK SUBJECT CODE: EC 1354 SUB.NAME : VLSI DESIGN YEAR / SEMESTER: III / VI UNIT I MOS TRANSISTOR THEORY AND

More information

Parametric Failures in COTS Capacitors

Parametric Failures in COTS Capacitors NASA Electronic Parts and Packaging (NEPP) Program Parametric Failures in COTS Capacitors Alexander Teverovsky*, Michael Sampson ** *ASRC AS&D, Inc. work performed for NASA GSFC Code 562 ** NEPP program

More information

EE141Microelettronica. CMOS Logic

EE141Microelettronica. CMOS Logic Microelettronica CMOS Logic CMOS logic Power consumption in CMOS logic gates Where Does Power Go in CMOS? Dynamic Power Consumption Charging and Discharging Capacitors Short Circuit Currents Short Circuit

More information

Lecture 6 Power Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010

Lecture 6 Power Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010 EE4800 CMOS Digital IC Design & Analysis Lecture 6 Power Zhuo Feng 6.1 Outline Power and Energy Dynamic Power Static Power 6.2 Power and Energy Power is drawn from a voltage source attached to the V DD

More information

Pass-Transistor Logic

Pass-Transistor Logic -all 26 Digital tegrated ircuits nnouncements No new homework this week roject phase one due on Monday Midterm 2 next Thursday Review session on Tuesday Lecture 8 Logic Dynamic Logic EE4 EE4 2 lass Material

More information

Advancements in mm-wave On-Wafer Measurements: A Commercial Multi-Line TRL Calibration Author: Leonard Hayden Presenter: Gavin Fisher

Advancements in mm-wave On-Wafer Measurements: A Commercial Multi-Line TRL Calibration Author: Leonard Hayden Presenter: Gavin Fisher Advancements in mm-wave On-Wafer Measurements: A Commercial Multi-Line TRL Calibration Author: Leonard Hayden Presenter: Gavin Fisher The title of this section is A Commercial Multi-Line TRL Calibration

More information

EE141-Fall 2011 Digital Integrated Circuits

EE141-Fall 2011 Digital Integrated Circuits EE4-Fall 20 Digital Integrated Circuits Lecture 5 Memory decoders Administrative Stuff Homework #6 due today Project posted Phase due next Friday Project done in pairs 2 Last Lecture Last lecture Logical

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 17: March 23, 2017 Energy and Power Optimization, Design Space Exploration, Synchronous MOS Logic Lecture Outline! Energy and Power Optimization

More information

Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM

Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM Mark McDermott Electrical and Computer Engineering The University of Texas at Austin 9/27/18 VLSI-1 Class Notes Why Clocking?

More information

Semiconductor Memories. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Paolo Spirito

Semiconductor Memories. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Paolo Spirito Semiconductor Memories Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Paolo Spirito Memory Classification Memory Classification Read-Write Memory Non-Volatile Read-Write Memory Read-Only Memory Random

More information

Lab 3 Revisited. Zener diodes IAP 2008 Lecture 4 1

Lab 3 Revisited. Zener diodes IAP 2008 Lecture 4 1 Lab 3 Revisited Zener diodes R C 6.091 IAP 2008 Lecture 4 1 Lab 3 Revisited +15 Voltage regulators 555 timers 270 1N758 0.1uf 5K pot V+ V- 2N2222 0.1uf V o. V CC V Vin s = 5 V Vc V c Vs 1 e t = RC Threshold

More information

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture Rajeevan Amirtharajah University of California, Davis Outline Announcements Review: PDP, EDP, Intersignal Correlations, Glitching, Top

More information

Nanoscale CMOS Design Issues

Nanoscale CMOS Design Issues Nanoscale CMOS Design Issues Jaydeep P. Kulkarni Assistant Professor, ECE Department The University of Texas at Austin jaydeep@austin.utexas.edu Fall, 2017, VLSI-1 Class Transistor I-V Review Agenda Non-ideal

More information

Production Reliability Monitoring

Production Reliability Monitoring Production Reliability Monitoring 1. Philosophy of Reliability Monitoring In order to guarantee the high standard of reliability for each product family, a reliability monitoring methodology linked with

More information

Homework assignment from , MEMS Capacitors lecture

Homework assignment from , MEMS Capacitors lecture Homework assignment from 05-02-2006, MEMS Capacitors lecture 1. Calculate the capacitance for a round plate of 100µm diameter with an air gap space of 2.0 µm. C = e r e 0 * A/d (1) e 0 = 8.85E-12 F/m e

More information

Regulated 3.3V Charge Pump MAX679

Regulated 3.3V Charge Pump MAX679 19-1217; Rev ; 4/97 Regulated 3.3 Charge Pump General Description The step-up, regulated charge pump generates a 3.3 ±4% output voltage from a 1.8 to 3.6 input voltage (two alkaline, NiCd, or NiMH; or

More information

LOGIC CIRCUITS. Basic Experiment and Design of Electronics. Ho Kyung Kim, Ph.D.

LOGIC CIRCUITS. Basic Experiment and Design of Electronics. Ho Kyung Kim, Ph.D. Basic Experiment and Design of Electronics LOGIC CIRCUITS Ho Kyung Kim, Ph.D. hokyung@pusan.ac.kr School of Mechanical Engineering Pusan National University Digital IC packages TTL (transistor-transistor

More information

MOS Transistor Theory

MOS Transistor Theory CHAPTER 3 MOS Transistor Theory Outline 2 1. Introduction 2. Ideal I-V Characteristics 3. Nonideal I-V Effects 4. C-V Characteristics 5. DC Transfer Characteristics 6. Switch-level RC Delay Models MOS

More information

per chip (approx) 1 SSI (Small Scale Integration) Up to 99

per chip (approx) 1 SSI (Small Scale Integration) Up to 99 Q.2 a. Classify the integration technology as per the scale of integration. Explain in brief the various steps involved in fabrication of monolithic IC. Scales of Integration (Basic) Various steps involved

More information

Lecture 5: DC & Transient Response

Lecture 5: DC & Transient Response Lecture 5: DC & Transient Response Outline q Pass Transistors q DC Response q Logic Levels and Noise Margins q Transient Response q RC Delay Models q Delay Estimation 2 Activity 1) If the width of a transistor

More information

Lecture 1: Circuits & Layout

Lecture 1: Circuits & Layout Lecture 1: Circuits & Layout Outline q A Brief History q CMOS Gate esign q Pass Transistors q CMOS Latches & Flip-Flops q Standard Cell Layouts q Stick iagrams 2 A Brief History q 1958: First integrated

More information

COMP 103. Lecture 16. Dynamic Logic

COMP 103. Lecture 16. Dynamic Logic COMP 03 Lecture 6 Dynamic Logic Reading: 6.3, 6.4 [ll lecture notes are adapted from Mary Jane Irwin, Penn State, which were adapted from Rabaey s Digital Integrated Circuits, 2002, J. Rabaey et al.] COMP03

More information

The Linear-Feedback Shift Register

The Linear-Feedback Shift Register EECS 141 S02 Timing Project 2: A Random Number Generator R R R S 0 S 1 S 2 1 0 0 0 1 0 1 0 1 1 1 0 1 1 1 0 1 1 0 0 1 1 0 0 The Linear-Feedback Shift Register 1 Project Goal Design a 4-bit LFSR SPEED, SPEED,

More information

Adding a New Dimension to Physical Design. Sachin Sapatnekar University of Minnesota

Adding a New Dimension to Physical Design. Sachin Sapatnekar University of Minnesota Adding a New Dimension to Physical Design Sachin Sapatnekar University of Minnesota 1 Outline What is 3D about? Why 3D? 3D-specific challenges 3D analysis and optimization 2 Planning a city: Land usage

More information

PI4GTL bit bidirectional low voltage translator

PI4GTL bit bidirectional low voltage translator Features 2-bit bidirectional translator Less than 1.5 ns maximum propagation delay to accommodate Standard mode and Fast mode I2Cbus devices and multiple masters Allows voltage level translation between

More information