SiGe and CMOS. K. J. Kuhn, A. Murthy, R. Kotlyar, and M. Kuhn Intel Corporation. Kelin Kuhn / ECS Meeting / October 10 th,

Size: px
Start display at page:

Download "SiGe and CMOS. K. J. Kuhn, A. Murthy, R. Kotlyar, and M. Kuhn Intel Corporation. Kelin Kuhn / ECS Meeting / October 10 th,"

Transcription

1 Past, Present and Future: SiGe and CMOS Transistor Scaling K. J. Kuhn, A. Murthy, R. Kotlyar, and M. Kuhn Intel Corporation Kelin Kuhn / ECS Meeting / October 10 th,

2 AGENDA Past (Scaling) Present (Planar SiGe S/D) Future Planar Ge channel Non-planar architectures Tunnel FETs Summary Kelin Kuhn / ECS Meeting / October 10 th,

3 AGENDA Past (Scaling) Present (Planar SiGe S/D) Future Planar Ge channel Non-planar architectures Tunnel FETs Summary Kelin Kuhn / ECS Meeting / October 10 th,

4 Consistent 2-year scaling 22nm WIDE 32nm WIDE 45nm WIDE m m nm WIDE m m 2 90nm TALL 1.0 m 2 90 nm 65 nm 45 nm 32 nm 22 nm projected 90: 7 65: 8 45: 9 32: 9 Kelin Kuhn / ECS Meeting / October 10 th,

5 Changes in Scaling THEN NOW Scaling drove down cost Scaling drives down cost Scaling drove performance Materials di drive performance Performance constrained Power constrained Active power dominates Standby power dominates Independent design-process Collaborative design-process 130nm 90nm 65nm 45nm 32nm Kelin Kuhn / ECS Meeting / October 10 th,

6 AGENDA Past (Scaling) Present (Planar SiGe S/D) Future Planar Ge channel Non-planar architectures Tunnel FETs Summary Kelin Kuhn / ECS Meeting / October 10 th,

7 Transistor Performance Trend Drive Current (ma/um) V, 100 na I OFF 90nm 130nm 65nm 45nm 32nm Strain Hi-k-MG Other Classic scaling PMOS Gate Pitch (nm) 100 Strain is a critical ingredient in modern transistor scaling Strain was first introduced at 90nm, and its contribution has increased in each subsequent generation Kelin Kuhn / ECS Meeting / October 10 th,

8 Uniaxial Strain Enhancement with Embedded SiGe (PMOS) Thompson Intel Ghani Intel Chidambaram IEDM 2002 / 2004 [28-30] IEDM 2003 [31] TI / Applied Materials VLSI [32] Kelin Kuhn / ECS Meeting / October 10 th,

9 Transistor Results: Channel Strain -0.1 Simulations show epitaxial S/D transistor has uniaxial compressive channel strain (Giles VLSI 04, [24]) TEM electron diffraction 0 measurements confirm 0.6% lattice displacement (Mistry, VLSI 04 04, [26]) Channel SiGe L 0.1 GATE =50nm Kelin Kuhn / ECS Meeting / October 10 th,

10 Technology Strain Trend Ge concentrati ion in EPI (a at.%) Channel Strain Ge concentration l Strain (GPa a) Channe 0 130nm 90nm 65nm 45nm 32nm 0.0 Strain is a critical ingredient in modern transistor scaling Strain was first introduced at 90nm, and its contribution has increased in each subsequent generation Kelin Kuhn / ECS Meeting / October 10 th,

11 K.P Bandstructure: No Stress Bulk heavy hole has 12 nodes 4 of them are in k z =0 plane Vertical gate field confines holes into an inversion layer Moves from a bulk to a confined band structure 8 off-plane nodes projected to k z =0 plane in quantized k.p k z [110] k y Channel Quantized k z Band k x D 4 A D 3 B C In-plane WingsABCD A,B,C,D Off-plane Wings 1,2,3,4 3 B 2 1 C Bulk Band Giles et al., Wang et al.: IEDM 2004,

12 Uniaxial Stress along [110] Uniaxial stress along [110] has shear and biaxial components C A Shear compression lowers the energy of (C,D) Holes redistribute from (A,B) to (C,D) The effective mass and density of states (DOS) for scattering are reduced k y (2 /a) B k x (2 /a) D 1GPa uniaxial stress along g[ [110],(001) surface, 1MV/cm effective field, 30meV energy contours Giles et al., Wang et al.: IEDM 2004,

13 Uniaxial vs Biaxial Uniaxial strain introduced in a Si channel by SiGe in the S/D region. Biaxial strain introduced in a Si channel by SiGe below the channel region (or by a bonding process starting with SiGe below the channel region). s-si SGOI, SSGOI SSOI, SSDOI Strained Si Strained Si Strained Si SiGe SiGe Buried oxide Buried oxide Si substrate Si substrate Si substrate Kelin Kuhn / ECS Meeting / October 10 th,

14 Calculated using MASTAR ( UNIAXIAL COMPRESSION BIAXIAL TENSION Kuhn IEDM SC GP 2GP 3GP 14

15 Calculated using MASTAR ( UNIAXIAL COMPRESSION BIAXIAL TENSION Kuhn IEDM SC GP 2GP 3GP 14

16 Calculated using MASTAR ( UNIAXIAL COMPRESSION BIAXIAL TENSION Kuhn IEDM SC GP 2GP 3GP 14

17 Calculated using MASTAR ( UNIAXIAL COMPRESSION BIAXIAL TENSION Kuhn IEDM SC GP 2GP 3GP 14

18 Calculated using MASTAR ( UNIAXIAL COMPRESSION BIAXIAL TENSION Kuhn IEDM SC GP 2GP 3GP 14

19 Calculated using MASTAR ( UNIAXIAL COMPRESSION BIAXIAL TENSION Kuhn IEDM SC GP 2GP 3GP 14

20 Calculated using MASTAR ( UNIAXIAL COMPRESSION BIAXIAL TENSION Kuhn IEDM SC GP 2GP 3GP 14

21 Calculated using MASTAR ( UNIAXIAL COMPRESSION BIAXIAL TENSION Kuhn IEDM SC GP 2GP 3GP 14

22 Calculated using MASTAR ( UNIAXIAL COMPRESSION BIAXIAL TENSION Kuhn IEDM SC GP 2GP 3GP 14

23 Calculated using MASTAR ( UNIAXIAL COMPRESSION BIAXIAL TENSION Kuhn IEDM SC GP 2GP 3GP 14

24 Kuhn, IEDM SC Wang, IEDM 2006 NO STRAIN UNIAXIAL COMPRESSION BIAXIAL TENSION 2.5GPa 3D plots Calculated using MASTAR ( 15

25 Orientation and Strain: More complex for non-(100) orientations (100) <110> (001) Surface (k =0) (001) Surface Vg=-1V (001) Surface Vg=-1V, Sxx=-1GPa (110) (110) Surface (k =0) (110) Surface Vg=-1V (110) Surface Vg=-1V, Sxx=-1GPa <110> BULK 1 D CONFINED 1 D CONFINED STRAINED Kuhn/Packan, Intel, IEDM Kelin 2008 Kuhn / ECS Meeting / October 10 th,

26 Orientation and Strain: More complex for non-(100) orientations 1000 s Si (110) Si Mobility cm^2/v (100) Si 200 Hole Stress (GPa) 0 Kelin Kuhn / ECS Meeting / October 10 th,

27 Orientation and Strain: More complex for non-(100) orientations Thompson U of Florida IEDM 2006 Sun U of Florida JAP 2007 Yang AMD/IBM IEDM 2007 While (100) mobilities agree reasonably well, a strong discrepancy exists for (110) mobilities - Yang, AMD/IBM, IEDM 2007, with reference to Thompson, IEDM 2006) 17A Kelin Kuhn / IEDM

28 AGENDA Past (Scaling) Present (Planar SiGe S/D) Future Planar Ge channel Non-planar architectures Tunnel FETs Summary Kelin Kuhn / ECS Meeting / October 10 th,

29 Transistor Performance Trend Drive Current (ma/um) V, 100 na I OFF 90nm 130nm 65nm 45nm 32nm Strain Hi-k-MG Other Classic scaling PMOS Gate Pitch (nm) 100 Manufacturable HiK-MG transistors were first introduced in the 45nm generation Kelin Kuhn / ECS Meeting / October 10 th,

30 Si vs Ge MOSFETs Gate Source Source n-ge Drain Intel 45nm HiK-MG Si device [43] Intel HiK-MG Ge device The introduction of manufacturable HiK-MG transistors has led to the reconsideration of Ge channels Kelin Kuhn / ECS Meeting / October 10 th,

31 The image cannot be displayed. Your computer may not have enough memory to open the image, or the image may have been corrupted. Restart your computer, and then open the file again. If the red x still appears, you may have to delete the image and then insert it again. The image cannot be displayed. Your computer may not have enough memory to open the image, or the image may have been corrupted. Restart your computer, and then open the file again. If the red x still appears, you may have to delete the image and then insert it again. Challenge of Lattice Mismatch Issues Device Layer Silicon Direct Deposition Defects Si Silicon Dislocations III-V Stacking faults Si Twin Defects Adapted from J. Kavalieros Intel - VLSI SC 2007 Kelin Kuhn / ECS Meeting / October 10 th,

32 Ge and PMOS Hole and Electron Mobility (cm2-v.s) Si Ge InP GaAs InSb Bandgap and Electron Affinity (ev) Ge mobility makes it uniquely interesting for PMOS Kelin Kuhn / ECS Meeting / October 10 th,

33 Si vs Ge Bandstructure/Parameters Band Masses at Gamma point (using kp parameters, in m e ): HH LH SO Si Ge Energy ev G Bandstructure Si, Ge L X K G 5 Kelin Kuhn / ECS Meeting / October 10 th,

34 Low Field Long Channel Mobility (as a function of stress) 1000 s Si (110) Si Mobility cm^2/v (100) Si 200 Hole Stress (GPa) 0 Kelin Kuhn / ECS Meeting / October 10 th,

35 Low Field Long Channel Mobility (as a function of stress) Si (100) Ge (110) Si Ge (110) Ge Mobility cm^2/v s (100) Si 200 Hole Stress (GPa) 0 Kelin Kuhn / ECS Meeting / October 10 th,

36 III-V vs Ge: NMOS The Lure of High Mobility d y (ev) ndgap and n Affinity Ban Electro Si Ge InP GaAs InSb ron V.s) nd Electr ty (cm2-v Hole an Mobili Adapted from J. Kavalieros Intel - VLSI SC 2007 K. Kuhn ECS 2010 Kelin Kuhn / ECS Meeting / October 10 th,

37 Low m* MOSFETs: Density-of-states states bottleneck On-current of a MOSFET I Q Velocity υ Diffusive : mobility μ, υ = μe Ballistic: injection velocity υ inj Light m* high μ, high υ inj From R. Kim Charge Q MOS limit (C Q» C ox ), C C ox Light m* less D (C Q ), less C, less Q More important for thin oxide (large C ox ), DOS bottleneck Q C V V G C C C C Q ox 2 q D Q th Kelin Kuhn / ECS Meeting / October 10 th,

38 5 High-Current L & -L channels: 5 Approaches Rodwell et al, 2010 Device Research Conference 6/21/2010 Rodwell, DRC, 2010 blue=occupied red=vacant ed drive current K 1 normaliz only InGaAs EET=Equivalent Electrostatic Thickness =T / +T / ox SiO2 ox inversion SiO2 semiconductor Si 0.4 nm 0.6 nm EET=1.0 nm g=2 g=1 0 includes the wavefunction depth term T inversion SiO2 / semiconductor m*/m o normalize ed drive current K nm EET=1.0 nm 0.3 nm 0.4 nm g=2, m /m =0.7 perpendicular 0 g=2, m /m =0.6 perpendicular 0 g=2, m perpendicular /m 0 =0.5 ma V 1 84 gs V J K th m 1V 0.1 EET=Equivalent Electrostatic Thickness =T ox SiO2 / ox +T inversion SiO2 / semiconductor m*/m o 3/ 2 Drain cu urrent, ma/ m nm well pitch 0.3 nm EET 0.6 nm EET 6 5 V -V =0.3 V gs th nm well pitch 2 EET=Equivalent Electrostatic Thickness 1 =T / +T / ox SiO2 ox inversion SiO2 semiconductor 0 includes the wavefunction depth term T / inversion SiO2 semiconductor m*/mo

39 Use of L-valleys: GaAs From R. Kim GaAs 4 nm (100) k y 8 L-valleys [011] Small DOS with high v inj High DOS with low v inj k x [010] single band from Γ k y [-1-12] [-211] Multiple bands from More DOS with high v inj Γ and L (111) k x [-110] Kelin Kuhn / ECS Meeting / October 10 th,

40 Different body thicknesses (EOT=1.0 nm) 2nm 4nm 8nm GaAs>InGaAs>GaSb>Ge>Si GaSb,InGaAs>Ge,GaAs>Si InGaAs>GaSb>Ge,GaAs>Si Different body thicknesses (EOT=0.5 nm) 2 nm 4 nm 8 nm GaSb>GaAs>Ge>Si>InGaAs GaSb>Ge>GaAs>Si,InGaAs GaSb>Ge>GaAs, InGaAs>Si Kelin Kuhn / ECS Meeting / October 10 th, 2010 From R. Kim

41 Ge Historical Issues: Still critical today Bandga ap and Elec ctron Affini ity (ev) Si Ge InP GaAs InSb MO (cm2/v V.s) Si (no strain) Ge 100% Ge 55% SiGe 25% TOXE (A) Narrow Bandgap Poor Quality Dielectric Kelin Kuhn / ECS Meeting / October 10 th,

42 Narrow Bandgap Ene ergy Bandga ap (ev) Eg SiGe (PERCENTAGE) mobility (cm m^2/v.s) Hole s [A/um] Id, I Source 1.E-03 1.E-04 1.E-05 1.E-06 1.E-07 Adapted from Saraswat [59], Krishnamohan [60] BTBT h e DRAIN DRAIN High Junction Leakage Eg Drain 1.E-08 Source Source 1.E Vg - Vt [V] Band-to-band tunneling: challenge for low Eg materials Kelin Kuhn / ECS Meeting / October 10 th,

43 Narrow Bandgap Adapted from Saraswat [59], Krishnamohan [60] Source High BTBT Weak quantum confinement Narrow effective energy gap Source h e Eg Drain Reduced BTBT Strong quantum confinement Wide effective energy gap h e Eg Drain Two solutions: Use lower voltages and/or use quantum confined systems Kelin Kuhn / ECS Meeting / October 10 th,

44 Dielectric Quality Ge to GeO (110) 2 Ge/Ge GeO 2 sub oxide transition Ge(111) Heynes, 2008 [9] Since HiK-MG dielectrics typically form with a bilayer (the HiK + an interface layer) the challenge of germanium oxide still exists. Germanium oxide exists in several morphologies, unfortunately, most are hydroscopic and/or volatile.. Kelin Kuhn / ECS Meeting / October 10 th,

45 E. Chagarov, T. Grassman, A. Kummel (UCSD) a-mo 2 /Ge without and with Passivation (2010 Sematech) 2 1/4 2 buckled dimers PBE0-Grassman Free of adsorbates Density of St tates Flat dimers Ge(100)-4 2: PBE0 Ge(100)-2x1: PBE0 E (2 1) F VB CB Ge interfaces. Buckled dimers Each Ge atom has ½ filled dangling bond Energy (ev) VB O = red, Ge = green, Hf = blue, H = white No GeO2 needed, full passivation possible with HfO2, path to small EOT E f CB Although ideal Ge(100) is unpinned, flat dimers or undimerized Ge atoms can pin the Fermi level. As shown on the left the ideal surface has a bulk like bandgap due to tilted dimers which will be absent at oxide- Therefore, oxide must passivate ALL tricoodinated Ge surface atoms Density D function theory molecular l dynamics (DFT-MD) was used to bond amorphous HfO 2 to Ge(100) and anneal at 700K. Interface has a mix of Ge-O and Ge-Hf bonds. Oxides passivate dangling bonds; 100% of interfacial Ge have 4 bonds. Interface is abrupt and Ge lattice is undisturbed. DOS shows a bandgap gpof 0.8 ev with no midgap states either in the interface or the channel. Fermi level shift from dipoles at oxide/vacuum interface being fixed. 34

46 Ge-J. Lee, T. Kaufman-Osborn, A. Kummel (UCSD) Monolayer Functionalization of Ge (2010 Sematech) The key requirement for scaling oxides on Ge while nm 2 H maintaining high mobility is functionalizing the O Ge(100) with a monolayer of reactive sites. This is challenging as even the most stable germanium oxides react with the substrate to form volatile suboxide. The Kummel group at UCSD has used STM to show that very large doses of water onto clean 300K H Ge(100) can functionalize 99% of the unit cells with O Ge-H and Ge-OH bonds. Ge These bonds are sufficiently reactive with TMA (trimethyl aluminum) that the ALD process can be initiated at 300K for the first layer. Key message: A 300K process has been developed to template the ALD processes in nearly every unit cell for EOT scaling without disrupting the substrate Ge lattice. Ge H Ge fully - occupied dimer Ge unoccupied or H Ge half - occupied dimer Ge dimer Ge Ge-OH and Ge-H sites cover Ge(100) surface (rectangle) with >10 6 L dose of H 2 O at 300K Fuzzy bright features are unreacted dangling bonds (squares). Can passivate with H or annealing ½ ML of Ge-OH perfect template for nucleation of TMA for scaled ALD gate oxide (even HfO 2 ) XPS studies show this surface reacts at 300K with TMA to form ½ ML of Ge-O-Al bonds which are stable up to 450C thereby functionalizing the surface for ALD of high-k. 35

47 Ge Dielectric: Another strategy: use an Si passivation layer Zimmerman Intel/IMEC IEDM 2006 [48] Si passivation Hellings IMEC/Leuven EDL 2009 [50] Si passivation Mitard IMEC/Leuven VLSI 2009 [51] Si passivation Kelin Kuhn / ECS Meeting / October 10 th,

48 Ge Benchmarking Yamamoto 2007 Wang 2007 Ioff (A/u um) Irisawa E-03 1.E-04 1.E-05 1.E-06 1.E-07 1E08 1.E-08 Romanjek 2008 Nicholas 2007 Batail 2009 [52] Zimmerman 2006 [48] Tezuka 2006 Andrieu E Liao 2008 Ion (ma/um) Harris 2007 Mitard 2009 [51] Hellings 2009 [50] Batail [52] updated with (48, 50, 51, 52) Kelin Kuhn / ECS Meeting / October 10 th,

49 Mitard, IMEC/Leuven, IEDM 2008 Ultra-thin Si cap + 4nm HfO2 Inversion EOT 13A Dit integrated over valence band Kelin Kuhn / ECS Meeting / October 10 th,

50 Mitard, IMEC/Leuven, VLSI 2009 Higher Coulomb scattering For 2 or 3nm HfO2 Kelin Kuhn / ECS Meeting / October 10 th, 2010 Higher Coulomb scattering For thinner TL SiO2 40

51 Zimmerman, IMEC/Intel, IEDM A epi-si, partially oxidized d after growth+4nm HfO2 10x10um Note respectable high field mobility CET = 16A, EOT = 12/12.5A 10x10um structure Jg not influencing Ids Before/after Post metallization anneal (PMA) Kelin Kuhn / ECS Meeting / October 10 th,

52 Ge Benchmarking Yamamoto 2007 Wang 2007 Ioff (A/u um) Irisawa E-03 1.E-04 1.E-05 1.E-06 1.E-07 1E08 1.E-08 Romanjek 2008 Nicholas 2007 Batail 2009 [52] Zimmerman 2006 [48] Tezuka 2006 Andrieu E Liao 2008 Ion (ma/um) Harris 2007 Mitard 2009 [51] Hellings 2009 [50] Batail [52] updated with (48, 50, 51, 52) Kelin Kuhn / ECS Meeting / October 10 th,

53 Hellings IMEC/Leuven, EDL 2009 Boron LDD Ultra-thin Si cap + 4nm HfO2 Batail ST-Micro, IEDM 2008 Selective epitaxy of pure Ge capped with Si Kelin Kuhn / ECS Meeting / October 10 th,

54 Kita (U. Tokyo) IEDM 2009 Another strategy: Advanced GeO 2 processing Ge diffusion High-pressure O2 (HPO) suppresses surface reaction Isotope experiment Suggests Ge diffusion Possible Defects Low-T oxygen Annealing (LOA) 70 atm Kelin Kuhn / ECS Meeting / October 10 th,

55 Lee (U. Tokyo) IEDM 2009 High pressure O 2 (HPO) + Low-Temperature Oxygen Anneal (LOA) Kelin Kuhn / ECS Meeting / October 10 th,

56 Kuzum (Stanford) IEDM/TED 2009 GeOxNy + Low-Temperature Oxygen Anneal (LOA) Kelin Kuhn / ECS Meeting / October 10 th,

57 AGENDA Past (Scaling) Present (Planar SiGe S/D) Future Planar Ge channel Non-planar architectures Tunnel FETs Summary Kelin Kuhn / ECS Meeting / October 10 th,

58 WIDTH = 2H + W WIDTH LENGTH Non-planar architectures t Kelin Kuhn / ECS Meeting / October 10 th,

59 MuGFET Benefits Double-gate relaxes Tsi requirements Fin Wsi > UTB Tsi (less scattering, improved VT shift) Nearly ideal subthreshold slope (gates tied together) Improved RDF (low doped channel) Can be on bulk or SOI Kelin Kuhn / ECS Meeting / October 10 th, 2010 Excellent channel control 49

60 MuGFET with RSD Double-gate relaxes Tsi requirements Fin Wsi > UTB Tsi (less scattering, improved VT shift) Nearly ideal subthreshold slope (gates tied together) Benefits Improved RDF (low doped channel) Compatible with RSD technology Excellent channel control Kelin Kuhn / ECS Meeting / October 10 th,

61 MuGFET Benefits Double-gate relaxes Tsi requirements Fin Wsi > UTB Tsi (less scattering, improved VT shift) Possibility for independent gate operation Improved RDF (low doped channel) Excellent channel control Kelin Kuhn / ECS Meeting / October 10 th,

62 MuGFET Capacitance (fringe to contact/facet) (Plus, additional dead space elements) Variation (Mitigating RDF but acquiring Hsi/Wsi/epi) Challenges Gate wraparound (Endcap coverage) Small fin pitch (2 generation scale?) Fin/gate fidelity on 3 D (Patterning/etch) Fin Strain engr. (Effective strain transfer from a fin into the channel) Topology Rext: (Polish / etch (Xj/Wsi challenges) limitations) Kelin Kuhn / ECS Meeting / October 10 th,

63 Kawasaki Toshiba / IBM IEDM 2009 MuGFET Sim Jurczak IMEC - SOI 2009 Kelin Kuhn / ECS Meeting / October 10 th,

64 High Mobility SiGe FinFETs 2 eff (cm /V-s) 350 SiGe {110}<110> (110) 300 SiGe SiGe fin {100}<100> (Tinv= Si 1.8nm) {110}<110> 250 Si {100}<100> (100) universal (100) shell/core fin (Tinv=1.5nm) Si fin (Tinv = 1.2nm) Universal (100) 0 0 1x x10 13 N INV (#/cm 3 ) INV Extracted by Split CV Method SiGe PFETs have higher mobility than Si fins. Potential for performance > strained Si in non-planar devices November 2010

65 Nanowire Benefits Nearly ideal subthreshold slope (gates tied together) Nanowire further relaxes Tsi / Wsi requirements Improved RDF (low doped channel) Excellent channel control Kelin Kuhn / ECS Meeting / October 10 th,

66 Nanowire Benefits Nearly ideal subthreshold slope (gates tied together) Nanowire further relaxes Tsi / Wsi requirements Improved RDF (low doped channel) Compatible with RSD technology Excellent channel control Kelin Kuhn / ECS Meeting / October 10 th,

67 Nanowire Integrated wire fabrication (Epitaxy? Other?) Mobility degradation (scattering) Gate conformality (dielectric and metal) Wire stability (bending/warping) Challenges Capacitance (fringe to contact/facet) (Plus, additional dead space elements) Variation (Mitigating RDF but acquiring a myriad of new sources) Fin Strain engr. (Effective strain transfer from wire into the channel) Rext: (Xj/Wsi limitations) Kelin Kuhn / ECS Meeting / October 10 th, 2010 Fin/gate fidelity on 3 D (Patterning/etch) Topology (Polish / etch challenges) 52

68 Stacked Si Nanowire Formation using SiGe SiGe/Si Superlattice Fin etch Selective SiGe etch Si Si Si SiGe SiGe BOX Si Si Si SiGe SiGe BOX Si SiGe Si SiGe Si Suspended NWs November

69 Dupre CEA-LETI IEDM 2008 Nanowire FETs Bangsaruntip IBM IEDM 2009 Kelin Kuhn / ECS Meeting / October 10 th,

70 Hashemi/Hoyt MIT IEDM 2008 EDL/ESSDRC 2009 Nanowire FETs Moselund Ecole Polytechnique, Switzerland IEDM 2007 Kelin Kuhn / ECS Meeting / October 10 th,

71 Non-planar options Si SiN HM HfO 2 TiN BOX 27 November

72 AGENDA Past (Scaling) Present (Planar SiGe S/D) Future Planar Ge channel Non-planar architectures Tunnel FETs Summary Kelin Kuhn / ECS Meeting / October 10 th,

73 TFET (Tunneling Field-Effect Transistor) Principle of operation Band-to-band- tunneling through source barrier, modulated by gate field Advantages Steep (< 60 mv/dec) sub-threshold slope Large Ion/Ioff ratio Disadvantages Low drive currents Ambipolar conduction Unidirectional conduction Potentially high hot-e - effects Vg Gate Source Drain P + i-inas N Vd Materials choice? A KEY question is whether some clever Tunneling barriers combination of Si, Ge, or Si 1-x Ge x can deliver enough drive current for viable TFETs. Courtsey M. Luisier (Purdue) M. Luisier and G. Klimeck, EDL, 2009 Kelin Kuhn / ECS Meeting / October 10 th,

74 1.00E E E E E E E Best Demonstrated TFETs 32nm Vds = 0.8V Still MUCH lower drive currents than conventional MOS Require band-gap engineering with hetero-junction layers Sub-threshold slope still poor [1] S. Mookerjea et al., IEDM 09 [1] K. Jeon, et al., VLSI ( ) 2010 [2] W. Choi et al., IEEE-EDL vol.28, no.8, p.743 (2007) [3] F. Mayer et al., IEDM Tech Dig., p.163 (2008) [4] T. Krishnamohan et al., IEDM Tech Dig., p.947 (2008) Kelin Kuhn / ECS Meeting / October 10 th,

75 AGENDA Past (Scaling) Present (Planar SiGe S/D) Future Planar Ge channel Non-planar architectures Tunnel FETs Summary Kelin Kuhn / ECS Meeting / October 10 th,

76 Summary Strain from e-sige S/D PMOS is a critical part of modern CMOS technology - replacement technologies must exceed the high bar set by e-sige PMOS. Strained SiGe/Ge PMOS offers a potential mobility advantage over strained Si. However, gate dielectric engineering remains the key roadblock to competitive performance. Non-planar architectures will be of increasing interest for the 15nm node and beyond. Integrating e-sige S/D and/or Si/SiGe channels in non-planar architectures offers significant new challenges. TFETs are gaining visibility as potential ultra-low power devices, leveraging better than 60mV/dec SS. Significant challenges to constructing Si-Ge/SiGe TFETs with competitive drive currents. Kelin Kuhn / ECS Meeting / October 10 th,

77 Questions? Kelin Kuhn / ECS Meeting / October 10 th,

High Mobility Materials and Novel Device Structures for High Performance Nanoscale MOSFETs

High Mobility Materials and Novel Device Structures for High Performance Nanoscale MOSFETs High Mobility Materials and Novel Device Structures for High Performance Nanoscale MOSFETs Prof. (Dr.) Tejas Krishnamohan Department of Electrical Engineering Stanford University, CA & Intel Corporation

More information

The Pennsylvania State University. Kurt J. Lesker Company. North Carolina State University. Taiwan Semiconductor Manufacturing Company 1

The Pennsylvania State University. Kurt J. Lesker Company. North Carolina State University. Taiwan Semiconductor Manufacturing Company 1 Enhancement Mode Strained (1.3%) Germanium Quantum Well FinFET (W fin =20nm) with High Mobility (μ Hole =700 cm 2 /Vs), Low EOT (~0.7nm) on Bulk Silicon Substrate A. Agrawal 1, M. Barth 1, G. B. Rayner

More information

Lecture 9. Strained-Si Technology I: Device Physics

Lecture 9. Strained-Si Technology I: Device Physics Strain Analysis in Daily Life Lecture 9 Strained-Si Technology I: Device Physics Background Planar MOSFETs FinFETs Reading: Y. Sun, S. Thompson, T. Nishida, Strain Effects in Semiconductors, Springer,

More information

Prospects for Ge MOSFETs

Prospects for Ge MOSFETs Prospects for Ge MOSFETs Sematech Workshop December 4, 2005 Dimitri A. Antoniadis Microsystems Technology Laboratories MIT Sematech Workshop 2005 1 Channel Transport - I D I D =WQ i (x 0 )v xo v xo : carrier

More information

Enhanced Mobility CMOS

Enhanced Mobility CMOS Enhanced Mobility CMOS Judy L. Hoyt I. Åberg, C. Ni Chléirigh, O. Olubuyide, J. Jung, S. Yu, E.A. Fitzgerald, and D.A. Antoniadis Microsystems Technology Laboratory MIT, Cambridge, MA 02139 Acknowledge

More information

III-V CMOS: What have we learned from HEMTs? J. A. del Alamo, D.-H. Kim 1, T.-W. Kim, D. Jin, and D. A. Antoniadis

III-V CMOS: What have we learned from HEMTs? J. A. del Alamo, D.-H. Kim 1, T.-W. Kim, D. Jin, and D. A. Antoniadis III-V CMOS: What have we learned from HEMTs? J. A. del Alamo, D.-H. Kim 1, T.-W. Kim, D. Jin, and D. A. Antoniadis Microsystems Technology Laboratories, MIT 1 presently with Teledyne Scientific 23rd International

More information

Ultra-Scaled InAs HEMTs

Ultra-Scaled InAs HEMTs Performance Analysis of Ultra-Scaled InAs HEMTs Neerav Kharche 1, Gerhard Klimeck 1, Dae-Hyun Kim 2,3, Jesús. A. del Alamo 2, and Mathieu Luisier 1 1 Network for Computational ti Nanotechnology and Birck

More information

Study of Carrier Transport in Strained and Unstrained SOI Tri-gate and Omega-gate Si Nanowire MOSFETs

Study of Carrier Transport in Strained and Unstrained SOI Tri-gate and Omega-gate Si Nanowire MOSFETs 42nd ESSDERC, Bordeaux, France, 17-21 Sept. 2012 A2L-E, High Mobility Devices, 18 Sept. Study of Carrier Transport in Strained and Unstrained SOI Tri-gate and Omega-gate Si Nanowire MOSFETs M. Koyama 1,4,

More information

Performance Enhancement of P-channel InGaAs Quantum-well FETs by Superposition of Process-induced Uniaxial Strain and Epitaxially-grown Biaxial Strain

Performance Enhancement of P-channel InGaAs Quantum-well FETs by Superposition of Process-induced Uniaxial Strain and Epitaxially-grown Biaxial Strain Performance Enhancement of P-channel InGaAs Quantum-well FETs by Superposition of Process-induced Uniaxial Strain and Epitaxially-grown Biaxial Strain Ling Xia 1, Vadim Tokranov 2, Serge R. Oktyabrsky

More information

Components Research, TMG Intel Corporation *QinetiQ. Contact:

Components Research, TMG Intel Corporation *QinetiQ. Contact: 1 High-Performance 4nm Gate Length InSb P-Channel Compressively Strained Quantum Well Field Effect Transistors for Low-Power (V CC =.5V) Logic Applications M. Radosavljevic,, T. Ashley*, A. Andreev*, S.

More information

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room).

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). The Final Exam will take place from 12:30PM to 3:30PM on Saturday May 12 in 60 Evans.» All of

More information

Electronics with 2D Crystals: Scaling extender, or harbinger of new functions?

Electronics with 2D Crystals: Scaling extender, or harbinger of new functions? Electronics with 2D Crystals: Scaling extender, or harbinger of new functions? 1 st Workshop on Data Abundant Systems Technology Stanford, April 2014 Debdeep Jena (djena@nd.edu) Electrical Engineering,

More information

Simple Theory of the Ballistic Nanotransistor

Simple Theory of the Ballistic Nanotransistor Simple Theory of the Ballistic Nanotransistor Mark Lundstrom Purdue University Network for Computational Nanoechnology outline I) Traditional MOS theory II) A bottom-up approach III) The ballistic nanotransistor

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 23, 2018 MOS Transistor Theory, MOS Model Penn ESE 570 Spring 2018 Khanna Lecture Outline! CMOS Process Enhancements! Semiconductor

More information

Performance Analysis of Ultra-Scaled InAs HEMTs

Performance Analysis of Ultra-Scaled InAs HEMTs Purdue University Purdue e-pubs Birck and NCN Publications Birck Nanotechnology Center 2009 Performance Analysis of Ultra-Scaled InAs HEMTs Neerav Kharche Birck Nanotechnology Center and Purdue University,

More information

A Multi-Gate CMOS Compact Model BSIMMG

A Multi-Gate CMOS Compact Model BSIMMG A Multi-Gate CMOS Compact Model BSIMMG Darsen Lu, Sriramkumar Venugopalan, Tanvir Morshed, Yogesh Singh Chauhan, Chung-Hsun Lin, Mohan Dunga, Ali Niknejad and Chenming Hu University of California, Berkeley

More information

Multiple Gate CMOS and Beyond

Multiple Gate CMOS and Beyond Multiple CMOS and Beyond Dept. of EECS, KAIST Yang-Kyu Choi Outline 1. Ultimate Scaling of MOSFETs - 3nm Nanowire FET - 8nm Non-Volatile Memory Device 2. Multiple Functions of MOSFETs 3. Summary 2 CMOS

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 10/30/2007 MOSFETs Lecture 4 Reading: Chapter 17, 19 Announcements The next HW set is due on Thursday. Midterm 2 is next week!!!! Threshold and Subthreshold

More information

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa Stretching the Barriers An analysis of MOSFET Scaling Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa Why Small? Higher Current Lower Gate Capacitance Higher

More information

InGaAs Double-Gate Fin-Sidewall MOSFET

InGaAs Double-Gate Fin-Sidewall MOSFET InGaAs Double-Gate Fin-Sidewall MOSFET Alon Vardi, Xin Zhao and Jesús del Alamo Microsystems Technology Laboratories, MIT June 25, 214 Sponsors: Sematech, Technion-MIT Fellowship, and NSF E3S Center (#939514)

More information

Comparison of Ultra-Thin InAs and InGaAs Quantum Wells and Ultra-Thin-Body Surface-Channel MOSFETs

Comparison of Ultra-Thin InAs and InGaAs Quantum Wells and Ultra-Thin-Body Surface-Channel MOSFETs Comparison of Ultra-Thin InAs and InGaAs Quantum Wells and Ultra-Thin-Body Surface-Channel MOSFETs Cheng-Ying Huang 1, Sanghoon Lee 1, Evan Wilson 3, Pengyu Long 3, Michael Povolotskyi 3, Varistha Chobpattana

More information

Technology Development for InGaAs/InP-channel MOSFETs

Technology Development for InGaAs/InP-channel MOSFETs MRS Spring Symposium, Tutorial: Advanced CMOS Substrates, Devices, Reliability, and Characterization, April 13, 2009, San Francisco Technology Development for InGaAs/InP-channel MOSFETs Mark Rodwell University

More information

Quantum-size effects in sub-10 nm fin width InGaAs finfets

Quantum-size effects in sub-10 nm fin width InGaAs finfets Quantum-size effects in sub-10 nm fin width InGaAs finfets Alon Vardi, Xin Zhao, and Jesús A. del Alamo Microsystems Technology Laboratories, MIT December 9, 2015 Sponsors: DTRA NSF (E3S STC) Northrop

More information

Advanced and Emerging Devices: SEMATECH s Perspective

Advanced and Emerging Devices: SEMATECH s Perspective SEMATECH Symposium October 23, 2012 Seoul Accelerating the next technology revolution Advanced and Emerging Devices: SEMATECH s Perspective Paul Kirsch Director, FEP Division Copyright 2012 SEMATECH, Inc.

More information

ALD high-k and higher-k integration on GaAs

ALD high-k and higher-k integration on GaAs ALD high-k and higher-k integration on GaAs Ozhan Koybasi 1), Min Xu 1), Yiqun Liu 2), Jun-Jieh Wang 2), Roy G. Gordon 2), and Peide D. Ye 1)* 1) School of Electrical and Computer Engineering, Purdue University,

More information

Scaling Issues in Planar FET: Dual Gate FET and FinFETs

Scaling Issues in Planar FET: Dual Gate FET and FinFETs Scaling Issues in Planar FET: Dual Gate FET and FinFETs Lecture 12 Dr. Amr Bayoumi Fall 2014 Advanced Devices (EC760) Arab Academy for Science and Technology - Cairo 1 Outline Scaling Issues for Planar

More information

The Critical Role of Quantum Capacitance in Compact Modeling of Nano-Scaled and Nanoelectronic Devices

The Critical Role of Quantum Capacitance in Compact Modeling of Nano-Scaled and Nanoelectronic Devices The Critical Role of Quantum Capacitance in Compact Modeling of Nano-Scaled and Nanoelectronic Devices Zhiping Yu and Jinyu Zhang Institute of Microelectronics Tsinghua University, Beijing, China yuzhip@tsinghua.edu.cn

More information

Modeling Random Variability of 16nm Bulk FinFETs

Modeling Random Variability of 16nm Bulk FinFETs Modeling Random Variability of 16nm Bulk FinFETs Victor Moroz, Qiang Lu, and Munkang Choi September 9, 2010 1 Outline 2 Outline 3 16nm Bulk FinFETs for 16nm Node Simulation domain 24nm fin pitch 56nm gate

More information

EE 292L : Nanomanufacturing. Week 5: Advanced Process Technology. Oct

EE 292L : Nanomanufacturing. Week 5: Advanced Process Technology. Oct EE 292L : Nanomanufacturing Week 5: Advanced Process Technology Oct 22 2012 1 Advanced Process Technology 1 HAR etch 2 3 HAR Gapfill Metal ALD 4 Reflow 5 6 SAC Airgap 7 8 Strain Ge/III-V Engineering 1

More information

EE410 vs. Advanced CMOS Structures

EE410 vs. Advanced CMOS Structures EE410 vs. Advanced CMOS Structures Prof. Krishna S Department of Electrical Engineering S 1 EE410 CMOS Structure P + poly-si N + poly-si Al/Si alloy LPCVD PSG P + P + N + N + PMOS N-substrate NMOS P-well

More information

Recent Development of FinFET Technology for CMOS Logic and Memory

Recent Development of FinFET Technology for CMOS Logic and Memory Recent Development of FinFET Technology for CMOS Logic and Memory Chung-Hsun Lin EECS Department University of California at Berkeley Why FinFET Outline FinFET process Unique features of FinFET Mobility,

More information

Application of High-κ Gate Dielectrics and Metal Gate Electrodes to enable Silicon and Non-Silicon Logic Nanotechnology

Application of High-κ Gate Dielectrics and Metal Gate Electrodes to enable Silicon and Non-Silicon Logic Nanotechnology Application of High-κ Gate Dielectrics and Metal Gate Electrodes to enable Silicon and Non-Silicon Logic Nanotechnology Robert Chau, Justin Brask, Suman Datta, Gilbert Dewey, Mark Doczy, Brian Doyle, Jack

More information

OMEN an atomistic and full-band quantum transport simulator for post-cmos nanodevices

OMEN an atomistic and full-band quantum transport simulator for post-cmos nanodevices Purdue University Purdue e-pubs Other Nanotechnology Publications Birck Nanotechnology Center 8-18-28 OMEN an atomistic and full-band quantum transport simulator for post-cmos nanodevices Mathieu Luisier

More information

Beyond Si: Opportunities and Challenges for CMOS Technology Based on High-Mobility Channel Materials T.P. Ma Yale University

Beyond Si: Opportunities and Challenges for CMOS Technology Based on High-Mobility Channel Materials T.P. Ma Yale University Beyond Si: Opportunities and Challenges for CMOS Technology Based on High-Mobility Channel Materials T.P. Ma Yale University Acknowledgments: Abigail Lubow, Xiao Sun, Shufeng Ren Switching Speed of CMOS

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 29, 2019 MOS Transistor Theory, MOS Model Penn ESE 570 Spring 2019 Khanna Lecture Outline! CMOS Process Enhancements! Semiconductor

More information

Courtesy of S. Salahuddin (UC Berkeley) Lecture 4

Courtesy of S. Salahuddin (UC Berkeley) Lecture 4 Courtesy of S. Salahuddin (UC Berkeley) Lecture 4 MOSFET Transport Issues semiconductor band structure quantum confinement effects low-field mobility and high-field saturation Reading: - M. Lundstrom,

More information

! CMOS Process Enhancements. ! Semiconductor Physics. " Band gaps. " Field Effects. ! MOS Physics. " Cut-off. " Depletion.

! CMOS Process Enhancements. ! Semiconductor Physics.  Band gaps.  Field Effects. ! MOS Physics.  Cut-off.  Depletion. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 3, 018 MOS Transistor Theory, MOS Model Lecture Outline! CMOS Process Enhancements! Semiconductor Physics " Band gaps " Field Effects!

More information

Analysis of InAs Vertical and Lateral Band-to-Band Tunneling. Transistors: Leveraging Vertical Tunneling for Improved Performance

Analysis of InAs Vertical and Lateral Band-to-Band Tunneling. Transistors: Leveraging Vertical Tunneling for Improved Performance Analysis of InAs Vertical and Lateral Band-to-Band Tunneling Transistors: Leveraging Vertical Tunneling for Improved Performance Kartik Ganapathi, Youngki Yoon and Sayeef Salahuddin a) Department of Electrical

More information

High Dielectric Constant (k) Materials

High Dielectric Constant (k) Materials Part 6: High Dielectric Constant (k), Gate Electrode, & Channel Materials O 2 gate ide is approaching physical limits Thickness & Current M O S poly-crystalline V Source W Source Contact Insulator n +

More information

The Prospects for III-Vs

The Prospects for III-Vs 10 nm CMOS: The Prospects for III-Vs J. A. del Alamo, Dae-Hyun Kim 1, Donghyun Jin, and Taewoo Kim Microsystems Technology Laboratories, MIT 1 Presently with Teledyne Scientific 2010 European Materials

More information

High Mobility Channel Impact On Device Performance High mobility materials (advantages) High Mobility - Low Leakage

High Mobility Channel Impact On Device Performance High mobility materials (advantages) High Mobility - Low Leakage High Mobility Channel Impact On Device Performance High mobility materials (advantages) High mobility materials (disadvantages) energy Low m* transport Increasing µ brings us closer to the ballistic limit

More information

Part 5: Quantum Effects in MOS Devices

Part 5: Quantum Effects in MOS Devices Quantum Effects Lead to Phenomena such as: Ultra Thin Oxides Observe: High Leakage Currents Through the Oxide - Tunneling Depletion in Poly-Si metal gate capacitance effect Thickness of Inversion Layer

More information

CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS

CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS 98 CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS In this chapter, the effect of gate electrode work function variation on DC

More information

Metallic: 2n 1. +n 2. =3q Armchair structure always metallic = 2

Metallic: 2n 1. +n 2. =3q Armchair structure always metallic = 2 Properties of CNT d = 2.46 n 2 2 1 + n1n2 + n2 2π Metallic: 2n 1 +n 2 =3q Armchair structure always metallic a) Graphite Valence(π) and Conduction(π*) states touch at six points(fermi points) Carbon Nanotube:

More information

! CMOS Process Enhancements. ! Semiconductor Physics. " Band gaps. " Field Effects. ! MOS Physics. " Cut-off. " Depletion.

! CMOS Process Enhancements. ! Semiconductor Physics.  Band gaps.  Field Effects. ! MOS Physics.  Cut-off.  Depletion. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 9, 019 MOS Transistor Theory, MOS Model Lecture Outline CMOS Process Enhancements Semiconductor Physics Band gaps Field Effects

More information

Defects in Semiconductors

Defects in Semiconductors Defects in Semiconductors Mater. Res. Soc. Symp. Proc. Vol. 1370 2011 Materials Research Society DOI: 10.1557/opl.2011. 771 Electronic Structure of O-vacancy in High-k Dielectrics and Oxide Semiconductors

More information

Comparative studies of Ge and Si p-channel metal oxide semiconductor field-effect-transistors with HfSiON dielectric and TaN metal gate

Comparative studies of Ge and Si p-channel metal oxide semiconductor field-effect-transistors with HfSiON dielectric and TaN metal gate Comparative studies of Ge and Si p-channel metal oxide semiconductor field-effect-transistors with HfSiON dielectric and TaN metal gate Hu Ai-Bin( 胡爱斌 ) and Xu Qiu-Xia( 徐秋霞 ) Institute of Microelectronics,

More information

TOTAL IONIZING DOSE RADIATION EFFECTS AND NEGATIVE BIAS TEMPERATURE INSTABILITY ON SiGe pmos DEVICES

TOTAL IONIZING DOSE RADIATION EFFECTS AND NEGATIVE BIAS TEMPERATURE INSTABILITY ON SiGe pmos DEVICES TOTAL IONIZING DOSE RADIATION EFFECTS AND NEGATIVE BIAS TEMPERATURE INSTABILITY ON SiGe pmos DEVICES By Guoxing Duan Thesis Submitted to the Faculty of the Graduate school of Vanderbilt University in partial

More information

CMPEN 411 VLSI Digital Circuits. Lecture 03: MOS Transistor

CMPEN 411 VLSI Digital Circuits. Lecture 03: MOS Transistor CMPEN 411 VLSI Digital Circuits Lecture 03: MOS Transistor Kyusun Choi [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] CMPEN 411 L03 S.1

More information

Technology Development & Design for 22 nm InGaAs/InP-channel MOSFETs

Technology Development & Design for 22 nm InGaAs/InP-channel MOSFETs 2008 Indium Phosphide and Related Materials Conference, May, Versailles, France Technology Development & Design for 22 nm InGaAs/InP-channel MOSFETs M. Rodwell University of California, Santa Barbara M.

More information

Quantum Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors

Quantum Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors Shih-Ching Lo 1, Yiming Li 2,3, and Jyun-Hwei Tsai 1 1 National Center for High-Performance

More information

Electrostatics of Nanowire Transistors

Electrostatics of Nanowire Transistors Electrostatics of Nanowire Transistors Jing Guo, Jing Wang, Eric Polizzi, Supriyo Datta and Mark Lundstrom School of Electrical and Computer Engineering Purdue University, West Lafayette, IN, 47907 ABSTRACTS

More information

Self-Aligned InGaAs FinFETs with 5-nm Fin-Width and 5-nm Gate-Contact Separation

Self-Aligned InGaAs FinFETs with 5-nm Fin-Width and 5-nm Gate-Contact Separation Self-Aligned InGaAs FinFETs with 5-nm Fin-Width and 5-nm Gate-Contact Separation Alon Vardi, Lisa Kong, Wenjie Lu, Xiaowei Cai, Xin Zhao, Jesús Grajal* and Jesús A. del Alamo Microsystems Technology Laboratories,

More information

Low Frequency Noise in MoS 2 Negative Capacitance Field-effect Transistor

Low Frequency Noise in MoS 2 Negative Capacitance Field-effect Transistor Low Frequency Noise in MoS Negative Capacitance Field-effect Transistor Sami Alghamdi, Mengwei Si, Lingming Yang, and Peide D. Ye* School of Electrical and Computer Engineering Purdue University West Lafayette,

More information

MOS Transistor I-V Characteristics and Parasitics

MOS Transistor I-V Characteristics and Parasitics ECEN454 Digital Integrated Circuit Design MOS Transistor I-V Characteristics and Parasitics ECEN 454 Facts about Transistors So far, we have treated transistors as ideal switches An ON transistor passes

More information

Indium arsenide quantum wire trigate metal oxide semiconductor field effect transistor

Indium arsenide quantum wire trigate metal oxide semiconductor field effect transistor JOURNAL OF APPLIED PHYSICS 99, 054503 2006 Indium arsenide quantum wire trigate metal oxide semiconductor field effect transistor M. J. Gilbert a and D. K. Ferry Department of Electrical Engineering and

More information

Physics an performance of III-V nanowire heterojunction TFETs including phonon and impurity band tails:

Physics an performance of III-V nanowire heterojunction TFETs including phonon and impurity band tails: Physics an performance of III-V nanowire heterojunction TFETs including phonon and impurity band tails: An atomistic mode space NEGF quantum transport study. A. Afzalian TSMC, Leuven, Belgium (Invited)

More information

Nanometer Transistors and Their Models. Jan M. Rabaey

Nanometer Transistors and Their Models. Jan M. Rabaey Nanometer Transistors and Their Models Jan M. Rabaey Chapter Outline Nanometer transistor behavior and models Sub-threshold currents and leakage Variability Device and technology innovations Nanometer

More information

MSE 310/ECE 340: Electrical Properties of Materials Fall 2014 Department of Materials Science and Engineering Boise State University

MSE 310/ECE 340: Electrical Properties of Materials Fall 2014 Department of Materials Science and Engineering Boise State University MSE 310/ECE 340: Electrical Properties of Materials Fall 2014 Department of Materials Science and Engineering Boise State University Practice Final Exam 1 Read the questions carefully Label all figures

More information

Nanoscale CMOS Design Issues

Nanoscale CMOS Design Issues Nanoscale CMOS Design Issues Jaydeep P. Kulkarni Assistant Professor, ECE Department The University of Texas at Austin jaydeep@austin.utexas.edu Fall, 2017, VLSI-1 Class Transistor I-V Review Agenda Non-ideal

More information

Lecture 5: CMOS Transistor Theory

Lecture 5: CMOS Transistor Theory Lecture 5: CMOS Transistor Theory Slides courtesy of Deming Chen Slides based on the initial set from David Harris CMOS VLSI Design Outline q q q q q q q Introduction MOS Capacitor nmos I-V Characteristics

More information

A 20 nm gate-length ultra-thin body p-mosfet with silicide source/drain

A 20 nm gate-length ultra-thin body p-mosfet with silicide source/drain Superlattices and Microstructures, Vol. 28, No. 5/6, 2000 doi:10.1006/spmi.2000.0947 Available online at http://www.idealibrary.com on A 20 nm gate-length ultra-thin body p-mosfet with silicide source/drain

More information

Microsystems Technology Laboratories, MIT. Teledyne Scientific Company (TSC)

Microsystems Technology Laboratories, MIT. Teledyne Scientific Company (TSC) Extraction of Virtual-Source Injection Velocity in sub-100 nm III-V HFETs 1,2) D.-H. Kim, 1) J. A. del Alamo, 1) D. A. Antoniadis and 2) B. Brar 1) Microsystems Technology Laboratories, MIT 2) Teledyne

More information

RADIATION EFFECTS IN SEMICONDUCTOR MATERIALS AND DEVICES FOR SPACE APPLICATIONS. Cor Claeys and Eddy Simoen

RADIATION EFFECTS IN SEMICONDUCTOR MATERIALS AND DEVICES FOR SPACE APPLICATIONS. Cor Claeys and Eddy Simoen RADIATION EFFECTS IN SEMICONDUCTOR MATERIALS AND DEVICES FOR SPACE APPLICATIONS Cor Claeys and Eddy Simoen IMEC 2010 OUTLINE Introduction Total Dose Effects in thin gate oxides RILC, RSB, SEGR, Latent

More information

III-V field-effect transistors for low power digital logic applications

III-V field-effect transistors for low power digital logic applications Microelectronic Engineering 84 (2007) 2133 2137 www.elsevier.com/locate/mee III-V field-effect transistors for low power digital logic applications Suman Datta * Components Research, Technology Manufacturing

More information

Semiconductor Physics Problems 2015

Semiconductor Physics Problems 2015 Semiconductor Physics Problems 2015 Page and figure numbers refer to Semiconductor Devices Physics and Technology, 3rd edition, by SM Sze and M-K Lee 1. The purest semiconductor crystals it is possible

More information

The Future of CMOS. David Pulfrey. CHRONOLOGY of the FET. Lecture Lilienfeld s patent (BG FET) 1965 Commercialization (Fairchild)

The Future of CMOS. David Pulfrey. CHRONOLOGY of the FET. Lecture Lilienfeld s patent (BG FET) 1965 Commercialization (Fairchild) The Future of CMOS David Pulfrey 1 CHRONOLOGY of the FET 1933 Lilienfeld s patent (BG FET) 1965 Commercialization (Fairchild) 1991 The most abundant object made by mankind (C.T. Sah) 2003 The 10 nm FET

More information

A Computational Model of NBTI and Hot Carrier Injection Time-Exponents for MOSFET Reliability

A Computational Model of NBTI and Hot Carrier Injection Time-Exponents for MOSFET Reliability Journal of Computational Electronics 3: 165 169, 2004 c 2005 Springer Science + Business Media, Inc. Manufactured in The Netherlands. A Computational Model of NBTI and Hot Carrier Injection Time-Exponents

More information

Towards Atomistic Simulations of the Electro-Thermal Properties of Nanowire Transistors Mathieu Luisier and Reto Rhyner

Towards Atomistic Simulations of the Electro-Thermal Properties of Nanowire Transistors Mathieu Luisier and Reto Rhyner Towards Atomistic Simulations of the Electro-Thermal Properties of Nanowire Transistors Mathieu Luisier and Reto Rhyner Integrated Systems Laboratory ETH Zurich, Switzerland Outline Motivation Electron

More information

Journal of Electron Devices, Vol. 18, 2013, pp JED [ISSN: ]

Journal of Electron Devices, Vol. 18, 2013, pp JED [ISSN: ] DrainCurrent-Id in linearscale(a/um) Id in logscale Journal of Electron Devices, Vol. 18, 2013, pp. 1582-1586 JED [ISSN: 1682-3427 ] SUITABILITY OF HIGH-k GATE DIELECTRICS ON THE DEVICE PERFORMANCE AND

More information

Analytical Modeling of Threshold Voltage for a. Biaxial Strained-Si-MOSFET

Analytical Modeling of Threshold Voltage for a. Biaxial Strained-Si-MOSFET Contemporary Engineering Sciences, Vol. 4, 2011, no. 6, 249 258 Analytical Modeling of Threshold Voltage for a Biaxial Strained-Si-MOSFET Amit Chaudhry Faculty of University Institute of Engineering and

More information

The Devices: MOS Transistors

The Devices: MOS Transistors The Devices: MOS Transistors References: Semiconductor Device Fundamentals, R. F. Pierret, Addison-Wesley Digital Integrated Circuits: A Design Perspective, J. Rabaey et.al. Prentice Hall NMOS Transistor

More information

Effect of the High-k Dielectric/Semiconductor Interface on Electronic Properties in Ultra-thin Channels

Effect of the High-k Dielectric/Semiconductor Interface on Electronic Properties in Ultra-thin Channels Effect of the High-k Dielectric/Semiconductor Interface on Electronic Properties in Ultra-thin Channels Evan Wilson, Daniel Valencia, Mark J. W. Rodwell, Gerhard Klimeck and Michael Povolotskyi Electrical

More information

Tri-Gate Fully-Depleted CMOS Transistors: Fabrication, Design and Layout

Tri-Gate Fully-Depleted CMOS Transistors: Fabrication, Design and Layout Tri-Gate Fully-Depleted CMOS Transistors: Fabrication, Design and Layout B.Doyle, J.Kavalieros, T. Linton, R.Rios B.Boyanov, S.Datta, M. Doczy, S.Hareland, B. Jin, R.Chau Logic Technology Development Intel

More information

How a single defect can affect silicon nano-devices. Ted Thorbeck

How a single defect can affect silicon nano-devices. Ted Thorbeck How a single defect can affect silicon nano-devices Ted Thorbeck tedt@nist.gov The Big Idea As MOS-FETs continue to shrink, single atomic scale defects are beginning to affect device performance Gate Source

More information

Analysis of Band-to-band. Tunneling Structures. Title of Talk. Dimitri Antoniadis and Judy Hoyt (PIs) Jamie Teherani and Tao Yu (Students) 8/21/2012

Analysis of Band-to-band. Tunneling Structures. Title of Talk. Dimitri Antoniadis and Judy Hoyt (PIs) Jamie Teherani and Tao Yu (Students) 8/21/2012 1 Analysis of Band-to-band Title of Talk Tunneling Structures Dimitri Antoniadis and Judy Hoyt (PIs) Jamie Teherani and Tao Yu (Students) 8/21/2012 A Science & Technology Center Vertical Type-II TFET Structure

More information

Intrinsic Reliability improvement in Biaxially Strained SiGe p-mosfets

Intrinsic Reliability improvement in Biaxially Strained SiGe p-mosfets Intrinsic Reliability improvement in Biaxially Strained SiGe p-mosfets S. Deora 1,3, A. Paul 2, R. Bijesh 1, J. Huang 3, G. Klimeck 2, G. Bersuker 3, P. D. Krisch 3 and R. Jammy 3. 1 Department of Electrical

More information

Imaginary Band Structure and Its Role in Calculating Transmission Probability in Semiconductors

Imaginary Band Structure and Its Role in Calculating Transmission Probability in Semiconductors Imaginary Band Structure and Its Role in Calculating Transmission Probability in Semiconductors Jamie Teherani Collaborators: Paul Solomon (IBM), Mathieu Luisier(Purdue) Advisors: Judy Hoyt, DimitriAntoniadis

More information

+ V gate M O. Trend: As k, E g. Part 6: High Dielectric Constant (k), Gate Electrode, & Channel Materials. Bandgap versus Dielectric Constant (k) k k

+ V gate M O. Trend: As k, E g. Part 6: High Dielectric Constant (k), Gate Electrode, & Channel Materials. Bandgap versus Dielectric Constant (k) k k Part 6: High Dielectric Constant (k), Gate Electrode, & Channel Materials O 2 gate oxide is approaching physical limits Thickness & Current M O S poly-crystalline V Source W Source Contact Insulator n

More information

Ultimately Scaled CMOS: DG FinFETs?

Ultimately Scaled CMOS: DG FinFETs? Ultimately Scaled CMOS: DG FinFETs? Jerry G. Fossum SOI Group Department of Electrical and Computer Engineering University of Florida Gainesville, FL 32611-6130 J. G. Fossum / 1 Outline Introduction -

More information

L ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling

L ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling L13 04202017 ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling Scaling laws: Generalized scaling (GS) p. 610 Design steps p.613 Nanotransistor issues (page 626) Degradation

More information

Tunnel-FET: bridging the gap between prediction and experiment through calibration

Tunnel-FET: bridging the gap between prediction and experiment through calibration Tunnel-FET: bridging the gap between prediction and experiment through calibration Anne Verhulst Quentin Smets, Jasper Bizindavyi, Mazhar Mohammed, Devin Verreck, Salim El Kazzi, Alireza Alian, Yves Mols,

More information

Investigation of the Dimension Effects of Sub-30nm Multiple-Gate SOI MOSFETs by TCAD Simulation

Investigation of the Dimension Effects of Sub-30nm Multiple-Gate SOI MOSFETs by TCAD Simulation Microelectronics and olid tate Electronics 212, 1(3): 64-68 OI: 1.5923/j.msse.21224.3 Investigation of the imension Effects of ub-3nm Multiple-ate OI MOFETs by TCA imulation Keng-Ming Liu *, Yung-Yu Hsieh

More information

Simple and accurate modeling of the 3D structural variations in FinFETs

Simple and accurate modeling of the 3D structural variations in FinFETs Simple and accurate modeling of the 3D structural variations in FinFETs Donghu Kim Electrical Engineering Program Graduate school of UNIST 2013 Simple and accurate modeling of the 3D structural variations

More information

TCAD Modeling of Stress Impact on Performance and Reliability

TCAD Modeling of Stress Impact on Performance and Reliability TCAD Modeling of Stress Impact on Performance and Reliability Xiaopeng Xu TCAD R&D, Synopsys March 16, 2010 SEMATECH Workshop on Stress Management for 3D ICs using Through Silicon Vias 1 Outline Introduction

More information

Fundamentals of the Metal Oxide Semiconductor Field-Effect Transistor

Fundamentals of the Metal Oxide Semiconductor Field-Effect Transistor Triode Working FET Fundamentals of the Metal Oxide Semiconductor Field-Effect Transistor The characteristics of energy bands as a function of applied voltage. Surface inversion. The expression for the

More information

MOS CAPACITOR AND MOSFET

MOS CAPACITOR AND MOSFET EE336 Semiconductor Devices 1 MOS CAPACITOR AND MOSFET Dr. Mohammed M. Farag Ideal MOS Capacitor Semiconductor Devices Physics and Technology Chapter 5 EE336 Semiconductor Devices 2 MOS Capacitor Structure

More information

Fundamentals of Nanoelectronics: Basic Concepts

Fundamentals of Nanoelectronics: Basic Concepts Fundamentals of Nanoelectronics: Basic Concepts Sławomir Prucnal FWIM Page 1 Introduction Outline Electronics in nanoscale Transport Ohms law Optoelectronic properties of semiconductors Optics in nanoscale

More information

Surfaces, Interfaces, and Layered Devices

Surfaces, Interfaces, and Layered Devices Surfaces, Interfaces, and Layered Devices Building blocks for nanodevices! W. Pauli: God made solids, but surfaces were the work of Devil. Surfaces and Interfaces 1 Interface between a crystal and vacuum

More information

MOSFET: Introduction

MOSFET: Introduction E&CE 437 Integrated VLSI Systems MOS Transistor 1 of 30 MOSFET: Introduction Metal oxide semiconductor field effect transistor (MOSFET) or MOS is widely used for implementing digital designs Its major

More information

Lecture 6: 2D FET Electrostatics

Lecture 6: 2D FET Electrostatics Lecture 6: 2D FET Electrostatics 2016-02-01 Lecture 6, High Speed Devices 2014 1 Lecture 6: III-V FET DC I - MESFETs Reading Guide: Liu: 323-337 (he mainly focuses on the single heterostructure FET) Jena:

More information

ATOMIC-SCALE THEORY OF RADIATION-INDUCED PHENOMENA

ATOMIC-SCALE THEORY OF RADIATION-INDUCED PHENOMENA ATOMIC-SCALE THEORY OF RADIATION-INDUCED PHENOMENA OVERVIEW OF THE LAST FIVE YEARS AND NEW RESULTS Sokrates T. Pantelides Department of Physics and Astronomy, Vanderbilt University, Nashville, TN The theory

More information

High-Performance Complementary III-V Tunnel FETs with Strain Engineering

High-Performance Complementary III-V Tunnel FETs with Strain Engineering High-Performance Complementary III-V Tunnel FETs with Strain Engineering Jun Z. Huang, Yu Wang, Pengyu Long, Yaohua Tan, Michael Povolotskyi, and Gerhard Klimeck arxiv:65.955v [cond-mat.mes-hall] 3 May

More information

Challenges and Opportunities. Prof. J. Raynien Kwo 年

Challenges and Opportunities. Prof. J. Raynien Kwo 年 Nanoelectronics Beyond Si: Challenges and Opportunities Prof. J. Raynien Kwo 年 立 Si CMOS Device Scaling Beyond 22 nm node High κ,, Metal gates, and High mobility channel 1947 First Transistor 1960 1960

More information

VLSI Design The MOS Transistor

VLSI Design The MOS Transistor VLSI Design The MOS Transistor Frank Sill Torres Universidade Federal de Minas Gerais (UFMG), Brazil VLSI Design: CMOS Technology 1 Outline Introduction MOS Capacitor nmos I-V Characteristics pmos I-V

More information

Carbon-Based Electronics: Will there be a carbon age to follow the silicon age? Jeffrey Bokor EECS Department UC Berkeley

Carbon-Based Electronics: Will there be a carbon age to follow the silicon age? Jeffrey Bokor EECS Department UC Berkeley Carbon-Based Electronics: Will there be a carbon age to follow the silicon age? Jeffrey Bokor EECS Department UC Berkeley jbokor@eecs.berkeley.edu Solid State Seminar 9-13-13 1 Outline Review of development

More information

Chapter 3 Basics Semiconductor Devices and Processing

Chapter 3 Basics Semiconductor Devices and Processing Chapter 3 Basics Semiconductor Devices and Processing Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives Identify at least two

More information

Semiconductor Physics fall 2012 problems

Semiconductor Physics fall 2012 problems Semiconductor Physics fall 2012 problems 1. An n-type sample of silicon has a uniform density N D = 10 16 atoms cm -3 of arsenic, and a p-type silicon sample has N A = 10 15 atoms cm -3 of boron. For each

More information

Ge Quantum Well Modulators on Si. D. A. B. Miller, R. K. Schaevitz, J. E. Roth, Shen Ren, and Onur Fidaner

Ge Quantum Well Modulators on Si. D. A. B. Miller, R. K. Schaevitz, J. E. Roth, Shen Ren, and Onur Fidaner 10.1149/1.2986844 The Electrochemical Society Ge Quantum Well Modulators on Si D. A. B. Miller, R. K. Schaevitz, J. E. Roth, Shen Ren, and Onur Fidaner Ginzton Laboratory, 450 Via Palou, Stanford CA 94305-4088,

More information

Surfaces, Interfaces, and Layered Devices

Surfaces, Interfaces, and Layered Devices Surfaces, Interfaces, and Layered Devices Building blocks for nanodevices! W. Pauli: God made solids, but surfaces were the work of Devil. Surfaces and Interfaces 1 Role of surface effects in mesoscopic

More information