Quantum Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors

Size: px
Start display at page:

Download "Quantum Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors"

Transcription

1 Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors Shih-Ching Lo 1, Yiming Li 2,3, and Jyun-Hwei Tsai 1 1 National Center for High-Performance Computing, Hsinchu 3, Taiwan 2 Department of Nano Device Technology, National Nano Device Laboratories, Hsinchu 3, Taiwan 3 Microelectronics & Information Systems Research Center, National Chiao Tung Univ., Hsinchu 3, Taiwan Abstract: - High-k dielectric materials are being considered as replacement for SiO 2 as the gate dielectric while retaining the low equivalent oxide thickness (EOT) required next generation metal oxide semiconductor field effect transistors (MOSFETs). In this paper, we simulate the capacitance voltage (C-V) of n-type MOSFET devices with different high-k dielectric insulator numerically. According to the results, high-k dielectric materials maintain the capacitance and provide a robust physical thickness preventing tunneling current. mechanism must be considered in the simulation or a 9.3 % overestimation of capacitance will be observed in an extreme case. Capacitance of high-k dielectrics couldn t be estimated by capacitance of insulator with EOT directly because a 13 % difference is observed. To obtain an accurate result, a self-consistent Schrödinger Poisson equation should be solved. Key-Words: - confinement effects, Schrödinger equation, High-k dielectric, MOSFET devices, Capacitance, Equivalent oxide thickness, Ultra thin oxide. 1 Introduction Aggressive progress in metal oxide semiconductor field effect transistor (MOSFET) integrated circuit technology has allowed device performance (speed) to meet market demand. To span 7 nm technology node, the oxide thickness of gate dielectric needs to be scaled below 1.5 nm [1-3]. Large gate leakage currents and reliability problems appear even at low voltages and make the value cannot be obtained in a MOSFET structure with SiO 2 as gate oxide [4-6]. If one looks at the capacitor equation, the only factor left to adjust is the dielectric constant under the classical MOSFET structure. Therefore, research on the high-k gate dielectrics has been expanded significantly to enable the capacitor dielectric to maintain a robust thickness while still providing a continuously shrinking area and storage voltage. According to previous studies, high-k dielectric material such as SiO 2, Si 3 N 4, HfO 2, TiO 2, Ta 2 O 5, ZrO 2, La 2 O 3 and their silicates are possible candidates [7-14]. It has been shown recently that higher physical gate oxide thickness can result in degradation of the electrical performance due to increased fringing fields from gate to source/drain. On the other hand, unexpected materials are produced between dielectric/si interface while the high-k materials are deposited on silicon substrate and the actual equilvalent oxide thickness (EOT) will differ from the designed profile. Phenomena mentioned above affect the design and optimization of MOSFET structure. Therefore, gauging the impact of the gate on the device by accurate simulations of the MOSFET characteristics should be addressed for high-k dielectric application. In this study, capacitance - voltage (C-V) characteristics of MOSFET devices with SiO 2, and HfO 2 are examined quantitatively. In addition, HfO 2 /HfO ed oxide is discussed. Some important results are presented. That is, EOT not only depends on dielectric constant but also depends on other characteristics of materials, such as band gap, conduction band electrode offset and so on. Estimating EOT of high-k materials by dielectric constant directly results in incorrect C-V characteristic, electron density and potential distribution in the substrate. Thickness of byproducts between interface of dielectric and silicon should be considered while simulating or designing devices because the additional thickness lowers the designed capacitance. The remaining content of this study is organized as follows. In Sec. 2, the simulation models and the computational method are briefly described. Section 3 shows the simulation results and discussion. Finally, Sec. 4 draws the conclusions. 2 Modeling and Simulation To simulate capacitance of a MOSFET electron density and potential distribution should be calculated. In this study, classical and quantum models, which are drift-diffusion (DD) and Schrödinger Poisson DD (SP-DD) models, are compared quantatively. Three governing equations of

2 DD model are listed as follows. The Poisson equation is ( p n + ) ε ψ = q N D N A, (1) where ε is the electrical permittivity, q is the elementary electronic charge, n and p are the electron and hole densities, and N D and N A are the number of ionized donors and acceptors, respectively. The current densities are given by: J and (2) n = qnµ n φn J, (3) p = qpµ p φ p where J n and J p are the electron and hole current density satisfying the continous equations, µ n and µ p are the electron and hole mobility, and φ and n φ are p the electron and hole quasi-fermi potentials, respectively. The mobility model used herein is Masetti s model. In principle, Schrödinger equation is the most accurate method to solve quantum mechanism. The Schrödinger equation along the semiconductor substrate (z - direction) [13, 15] is given as 2 } E ( z) C j, v ( z) E j, v j, v ( z) + Ψ = Ψ (4) z 2mz, v ( z) z ħ is the reduced Planck constant, E C is the conduction band energy, v is the band valley, m z,v, is the effective mass for valley in quantization direction, Ψ j,v is the j-th normalized eigenfunction in valley v; and, E j,v is the j-th eigenenergy. The self-consistent computing procedure is illustrated in Fig. 1 and described as follows. Firstly, the stop criteria, mesh, output variables and simulation models are chosen. Then, Poisson equation is solved iteratively until the result converges. If quantum mechanical model is considered, the Schrödinger equation is solved until it converges. Otherwise, continuity equations are solved. Before solving continuity equations, the Schrödinger-Poisson systematic equation should be solved iteratively until the two equations converge. After all equations converge, we ll check the whole system converges or not. If the whole system converges, then stop computing. Otherwise, the outer loop should be iterated again until the whole system converges. This scheme makes sure the solution will be self-consistent. 3 Results and Discussion In the numerical studies, a NMOSFET with 9 nm gate length is simulated. The simulated dielectric materials are SiO 2, HfO 2 and HfO 2 /HfO ed oxide with EOT = 1, 2 and 3 nm. Dielectric constant of SiO 2 is 3.9 and HfO 2 is 21. Figure 2 illustrates the simulated NMOSFET. Applied gate voltage varies from 1.5 ~ 1.5 V and a 1 Hz frequency ac signal is given. Applied drain voltage should be small enough to show the capacitance of MOS structure. A.1 V drain voltage is given in this study. Numerical results of the NMOSFET are obtained by using a commercial TCAD tool, ISE-DESSIS ver [16]. Pre-process.mesh generation.simulation model Start Solve Poisson equation converge? converge? SP loop converge? Solve continuity equations converge? outer loop converge? Post-process.stop criteria.outputs Solve Schrodinger equation Fig. 1. The flowchart of self-consistent procedure. 4nm n + x j =11nm x j =5nm n + channel doping S/D halo oxide salicide n + Fig. 2. The simulated NMOSFET. In this study, we assume that there is no interfacial trap charge. Figures 3 ~ 5 shows simulated C-V curves of MOSFET with SiO 2, HfO 2 and HfO 2 /HfO ed oxide for EOT = 1, 2 and 3 nm, respectively. EOT is estimated by EOT = ( k SiO k x ) t 2 x (5)

3 where k x is the k value for the film of interest, t x is the physical thickness of the film of interest and k is the k value of silicon dioxide. However, while HfO 2 is deposited on the silicon substrate, HfO or Hf salicide may be generated and the EOT will differ from the estimation. The kind of byproduct and the thickness depend on the manufacturing process. According to previous studies, a 5nm HfO 2 film deposited on silicon substrate will generate 1nm HfO at the interface and 4 nm HfO 2 above it [14]. Thus, EOT of the ed oxide case is estimated by the ratio. EOTs simulated in this study is given as Table 1. Table 1. Equivalent oxide thickness for high-k insulators. Materials SiO 2 HfO 2 HfO HfO 2 EOT 1 (nm) EOT 2 (nm) EOT 3 (nm) From Figs 3 ~ 5, we can observe that classical model overestimates the capacitance of a nanoscale device by comparing subfigure with. Figure 6 illustrates the comparison for capacitance of hafnium dioxide with EOT =1 and 3 nm. It is found that a thinner oxide thickness actually induces a larger capacitance than a thicker one. Since capacitance of a MOS capacitor is equal to the oxide capacitance and the silicon capacitance connected in series, total capacitance can be expressed as OX Si ( C C ) C = C C +, (6) OX Si where C OX and C Si are capacitance of gate dielectric and silicon, respectively. Once strong inversion layer forms, total capacitance is dominated by the silicon capacitance. C is approximated by C OX, which is k x /t x. Approximately, C is proportional to 1/t x. Differences between classical and quantum cases are more obvious while EOT becomes smaller. The phenomenon is caused by different electron density and potential distribution between classical and quantum models. From Fig. 7, density distribution of classical and quantum models are quite different. Comparing to potential distribution simulated by quantum model, classical model underestimates it. To get more insight into the differences of capacitance between classical and quantum cases, we consider capacitance of quantum model as the basis and compare the results. The percentage of difference is illustrated in Fig. 8. Since the difference of capacitance is getting larger as gate voltage increases. Figure 8 illustrates the results under = 1.5 V. All three dielectric materials show the same results, i.e., when EOT becomes smaller the difference of capacitance between classical and quantum cases becomes larger. In an extreme case, which is the 1 nm SiO 2 MOSFET, a 9.3 % overestimation of classical model is observed. 4.5e-1 4.e-1 3.5e-1 3.e-1 2.5e-1 1.5e-1 4.5e-1 4.e-1 3.5e-1 3.e-1 2.5e-1 1.5e-1 Fig. 3. The simulated capacitance of different gate dielectric materials with EOT = 1 nm for classical and quantum cases. 2.2e-1 1.8e-1 2.2e-1 1.8e-1 Fig. 4. The simulated capacitance of different gate dielectric materials with EOT = 2 nm for classical and quantum cases. 8.e-2 8.e-2 Fig. 5. The simulated capacitance of different gate dielectric materials with EOT = 3 nm for classical and quantum cases.

4 4.5e-1 4.e-1 3.5e-1 3.e-1 2.5e-1 1.5e-1 8.e-2 Fig. 6. Comparison of C-V curves between classical and quantum cases with EOT = 1 nm and EOT = 3 nm. 3.e+2 2.5e+2 2.e+2 1.5e+2 1.e+2 5.e+19. potential Fig. 7. Comparison of density and potential distributions between classical and quantum cases with EOT = 1 nm. capacitance [%] EOT [nm] Fig. 8. The percentage of capacitance difference between classical and quantum cases for dielectric materials with EOT = 1, 2 and 3 nm, where = 1.5V. On the other hand, devices with SiO 2, HfO 2 and HfO 2 /HfO oxide present different C-V curves by observing Figs 3 ~ 5. From the figures, differences of capacitance with different materials are shown. It is because the penetrating mechanisms of potential are diverse among three dielectric materials. The penetrating mechanism depends on the physical thickness of insulator, band gap, band structure, conduction band electrode offset and quantum mechanism. Hence, electron density and potential distributions of devices with SiO 2, HfO 2 and HfO 2 /HfO ed oxide are different and induce difference of capacitance. Therefore, we cannot estimate C by C ox and Eq. (6) directly. A Schrödinger Poisson equation should be considered as nanoscale devices are simulated. Figures 9 ~ 11 show the electron density and potential distributions for devices with three dielectric materials. In this study, we assume the ed device (HfO 2 /HfO) as the accurate profile and consider the electron density and potential distribution of it as the exact solution. The percentages of difference are illustrated in Figs 12 ~ 13. According to the figures, electron density distributions of the three dielectric are quite different and potential distribution are very close. As oxide thickness is smaller, the difference of electron density is larger. In an extreme case, the difference is up to 35 %. Among the numerical results, the largest difference of each curve occurs near the insulator/silicon interface. Difference between devices with SiO 2 and ed oxide, which is in the range of 27 ~ 35 %, is much larger than difference between devices with HfO 2 and ed oxide, which is in the range of 1 ~ 15 %. The difference is larger as EOT is smaller. In the aspect of potential, all three cases are very closed and the percentages are within 2 %. However, if we discuss the capacitance, which is a function of potential and density, significant differences are observed. Difference between devices with SiO 2 and ed oxide, which is in the range of 2 ~ 13 %, is much larger than difference between devices with HfO 2 and ed oxide, which is in the range of 2 ~ 5 %. As oxide thickness is getting thinner, the difference between SiO 2 (or HfO 2 ) and ed oxide is getting larger. The percentages of difference tend toward stable while the strong inversion layer is formed. As previous discussion, numerical results of devices with SiO 2 oxide have large difference to devices with ed oxide and the difference increases with EOT decreases. Fortunately, numerical results of devices with HfO 2 oxide are close to devices with ed oxide. Maybe results of device with HfO 2 oxide can be considered as the approximation of device with ed oxide. If

5 byproduct appears between oxide/substrate interface, an additional thickness of oxide is generated. Then, the designed capacitance of device will be lowered and the performance of device will not be as good as the expectation. 1e+2 8e+19 6e+19 4e+19 potential Fig. 9. The simulated results of different gate dielectric materials with EOT = 1 nm for density and potential distribution. 3.5e+19 3.e e+19 2.e e+19 1.e+19 5.e+18. potential Fig. 1. The simulated results of different gate dielectric materials with EOT = 2 nm for density and potential distribution. 1e+19 1e+19 1e+19 8e+18 6e+18 4e+18 2e+18 potential Fig. 11. The simulated results of different gate dielectric materials with EOT = 3 nm for density and potential distribution. electron density [%] EOT=1nm; vs EOT=1nm; vs EOT=2nm; vs EOT=2nm; vs EOT=3nm; vs EOT=3nm; vs Fig. 12. The percentage of density difference between dielectric materials with EOT = 1, 2 and 3 nm, where = 1.5V. potential [% ] EOT=1nm; vs EOT=1nm; vs EOT=2nm; vs EOT=2nm; vs EOT=3nm; vs EOT=3nm; vs Fig. 13. The percentage of potential difference between dielectric materials with EOT = 1, 2 and 3 nm, where = 1.5V. capacitance [%] EOT=1nm, vs. EOT=1nm, vs. EOT=2nm, vs. EOT=2nm, vs. EOT=3nm, vs. EOT=3nm, vs. Fig. 14. The percentage of capacitance difference between dielectric materials with EOT = 1, 2 and 3 nm.

6 According to the numerical results mentioned above, we can make summary of the results. High-k dielectrics actually provide a robust thickness without losing any capacitance. Capacitance of high-k dielectrics couldn t be estimated by capacitance of insulator with EOT directly because a 6 % difference of capacitance among materials is observed at the turn on region in the case of EOT =1 nm. At the subthreshold region, the difference will be up to 13 %. The difference is caused by the penetrating mechanism of different materials and the byproduct generated between dielectric/silicon interface. Also, while simulating capacitance of nanoscale devices, quantum mechanism should be considered. Therefore, the penetrating mechanism has to be analyzed and model carefully to obtain an accurate device capacitance. 4 Conclusions In this paper, a 9 nm NMOSFET is simulated with SiO 2, HfO 2 and ed oxide. A self-consistent Schrödinger Poisson transport model is considered to obtain the capacitance of the simulated device. The results are more accurate than estimating capacitance by oxide capacitance with EOT especially when byproduct is generated between oxide/substrate interface. According to our numerical studies, high-k dielectrics ensure a continuously shrinking of MOSFET possible without gate leakage concern by maintaining a robust thickness of oxide. Although high-k gate dielectrics seem to be an attractive solution of continuous scaling of MOSFET device, a number of difficulties are investigated, such as crystallization upon heating, fixed charge, instability in contact with poly Si, low channel mobility and uncontrolled oxide formation at the Si/high-k interface. The selection of suitable materials and their manufacturing process are left for further studies. 5 Acknowledgement The work is partially supported by the National Science Council (NSC), Taiwan, R.O.C., under Contracts NSC E 429-1, NSC M 429-1, and NSC C E. It is also partially supported by Ministry of Economic Affairs, Taiwan, R.O.C. under contract. 91 EC 17 A 7 - S1-11. References: [1] H. Iwai, Ultra Thin Gate Oxides Performance and Reliability, IEDM. Tech. Dig., p. 163, [2] H. Iwai, Downsizing of Silicon MOSFETs beyond.1 µm, Microelectron. J., Vol. 29, pp , [3] B. Yu et al., Limits of Gate Oxide Scaling in Nano-Transistors, VLSI Tech. Dig., pp. 39-4, 2. [4] H. S. Momose, et al. 1.5 nm Direct Tunneling Gate Oxide Si MOSFET s, IEEE Trans., Elec. Dev., Vol. 43, pp. 1233, [5] S. H. Lo et al., -Mechanical Modeling of Electron Tunneling Current from the Inversion Layer of Ultra-Thin Oxide nmosfet s, IEEE Dev. Lett., Vol. 18, pp , [6] Y. Li et al., Modeling of Effects for Ultrathin Oxide MOS Structures with an Effective Potential, IEEE Trans. Nanotech., Vol. 1, pp [7] B. H. Lee et al., Ultrathin Hafnium Oxide with Low Leakage and Excellent Reliability for Alternative Gate Dielectric Application, IEDM Tech. Dig., p.133, [8] B. H. Lee et al., Characteristics of TaN Gate MOSFET with Ultrathin Hafnium Oxide (8-12A), IEDM Tech. Dig., p.39, 2. [9] J. J. Lee, Theoretical and Experimental Investigation of Si Nanocrystal Memory Device with HfO 2 High-k Tunneling Dielectric, IEEE Trans. Elec. Dev., Vol. 5, pp. 1-6, 23. [1] L. Mannchanda and M. Gurvitch, Yttrium Oxide/Silicon Dioxide: A New Dielectric Structure for VLSI/ULSI Circuits, IEEE Elec. Dev. Lett., pp. 2854, [11] L. Mannchanda and W. H. Lee, Gate Quality Doped High K Films for CMOS beyond 1 nm: 3-1 nm Al 2 O 3 with low Leakage and Low Interface States, IEDM Tech. Dig., p. 65, [12] B. Cheng et al., The Impact of High-k Gate Dielectrics and Metal Gate Electrodes on Sub-1nm MOSFET s, IEEE Trans. Elec. Dev., Vol. 46, p. 1537, [13] Y. Li et al., Numerical Simulation of Effects in High-k Gate Dielectrics MOS Structures using Mechanical Models, Computer Physics Commun., Vol. 147, pp , 22. [14] G. Lucovsky, et al., Electronic Structure of Transition Metal High-k Dielectrics: Interfacial Band Offset Energies for Microelectronic Devices, Applied Surface Science, pp , 23. [15] Y. Li et al., A vel Parallel Approach for Effect Simulation in Semiconductor Devices, Int. J. Modelling & Simulation, Vol. 23, pp , 23. [16] DESIS-ISE TCAD Release 8., ISE integrated Systems Engineering AG, Switzerland, 22.

Characteristics Optimization of Sub-10 nm Double Gate Transistors

Characteristics Optimization of Sub-10 nm Double Gate Transistors Characteristics Optimization of Sub-10 nm Double Gate Transistors YIMING LI 1,,*, JAM-WEM Lee 1, and HONG-MU CHOU 3 1 Departmenet of Nano Device Technology, National Nano Device Laboratories Microelectronics

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 10/30/2007 MOSFETs Lecture 4 Reading: Chapter 17, 19 Announcements The next HW set is due on Thursday. Midterm 2 is next week!!!! Threshold and Subthreshold

More information

Frequency dispersion effect and parameters. extraction method for novel HfO 2 as gate dielectric

Frequency dispersion effect and parameters. extraction method for novel HfO 2 as gate dielectric 048 SCIENCE CHINA Information Sciences April 2010 Vol. 53 No. 4: 878 884 doi: 10.1007/s11432-010-0079-8 Frequency dispersion effect and parameters extraction method for novel HfO 2 as gate dielectric LIU

More information

Low Frequency Noise in MoS 2 Negative Capacitance Field-effect Transistor

Low Frequency Noise in MoS 2 Negative Capacitance Field-effect Transistor Low Frequency Noise in MoS Negative Capacitance Field-effect Transistor Sami Alghamdi, Mengwei Si, Lingming Yang, and Peide D. Ye* School of Electrical and Computer Engineering Purdue University West Lafayette,

More information

An interfacial investigation of high-dielectric constant material hafnium oxide on Si substrate B

An interfacial investigation of high-dielectric constant material hafnium oxide on Si substrate B Thin Solid Films 488 (2005) 167 172 www.elsevier.com/locate/tsf An interfacial investigation of high-dielectric constant material hafnium oxide on Si substrate B S.C. Chen a, T, J.C. Lou a, C.H. Chien

More information

CONSTANT CURRENT STRESS OF ULTRATHIN GATE DIELECTRICS

CONSTANT CURRENT STRESS OF ULTRATHIN GATE DIELECTRICS CONSTANT CURRENT STRESS OF ULTRATHIN GATE DIELECTRICS Y. Sun School of Electrical & Electronic Engineering Nayang Technological University Nanyang Avenue, Singapore 639798 e-mail: 14794258@ntu.edu.sg Keywords:

More information

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room).

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). The Final Exam will take place from 12:30PM to 3:30PM on Saturday May 12 in 60 Evans.» All of

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. EECS 130 Professor Ali Javey Fall 2006

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. EECS 130 Professor Ali Javey Fall 2006 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 130 Professor Ali Javey Fall 2006 Midterm 2 Name: SID: Closed book. Two sheets of notes are

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 23, 2018 MOS Transistor Theory, MOS Model Penn ESE 570 Spring 2018 Khanna Lecture Outline! CMOS Process Enhancements! Semiconductor

More information

Threshold voltage shift of heteronanocrystal floating gate flash memory

Threshold voltage shift of heteronanocrystal floating gate flash memory JOURNAL OF APPLIED PHYSICS 97, 034309 2005 Threshold voltage shift of heteronanocrystal floating gate flash memory Yan Zhu, Dengtao Zhao, Ruigang Li, and Jianlin Liu a Quantum Structures Laboratory, Department

More information

How a single defect can affect silicon nano-devices. Ted Thorbeck

How a single defect can affect silicon nano-devices. Ted Thorbeck How a single defect can affect silicon nano-devices Ted Thorbeck tedt@nist.gov The Big Idea As MOS-FETs continue to shrink, single atomic scale defects are beginning to affect device performance Gate Source

More information

This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented.

This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. References IEICE Electronics Express, Vol.* No.*,*-* Effects of Gamma-ray radiation on

More information

Capacitance-Voltage characteristics of nanowire trigate MOSFET considering wave functionpenetration

Capacitance-Voltage characteristics of nanowire trigate MOSFET considering wave functionpenetration Global Journal of researches in engineering Electrical and electronics engineering Volume 12 Issue 2 Version 1.0 February 2012 Type: Double Blind Peer Reviewed International Research Journal Publisher:

More information

MSE 310/ECE 340: Electrical Properties of Materials Fall 2014 Department of Materials Science and Engineering Boise State University

MSE 310/ECE 340: Electrical Properties of Materials Fall 2014 Department of Materials Science and Engineering Boise State University MSE 310/ECE 340: Electrical Properties of Materials Fall 2014 Department of Materials Science and Engineering Boise State University Practice Final Exam 1 Read the questions carefully Label all figures

More information

Department of Electronic Engineering, Chienkuo Technology University, No. 1, Chieh Shou N. Rd., Changhua City, 500 Taiwan, R.O.C.

Department of Electronic Engineering, Chienkuo Technology University, No. 1, Chieh Shou N. Rd., Changhua City, 500 Taiwan, R.O.C. Typeset using jjap.cls Compact Hot-Electron Induced Oxide Trapping Charge and Post- Stress Drain Current Modeling for Buried-Channel p-type Metal- Oxide-Semiconductor-Field-Effect-Transistors

More information

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Lec 6: September 14, 2015 MOS Model You are Here: Transistor Edition! Previously: simple models (0 and 1 st order) " Comfortable

More information

VSP A gate stack analyzer

VSP A gate stack analyzer Microelectronics Reliability 47 (7) 74 78 www.elsevier.com/locate/microrel VSP A gate stack analyzer M. Karner *, A. Gehring, M. Wagner, R. Entner, S. Holzer, W. Goes, M. Vasicek, T. Grasser, H. Kosina,

More information

1 Name: Student number: DEPARTMENT OF PHYSICS AND PHYSICAL OCEANOGRAPHY MEMORIAL UNIVERSITY OF NEWFOUNDLAND. Fall :00-11:00

1 Name: Student number: DEPARTMENT OF PHYSICS AND PHYSICAL OCEANOGRAPHY MEMORIAL UNIVERSITY OF NEWFOUNDLAND. Fall :00-11:00 1 Name: DEPARTMENT OF PHYSICS AND PHYSICAL OCEANOGRAPHY MEMORIAL UNIVERSITY OF NEWFOUNDLAND Final Exam Physics 3000 December 11, 2012 Fall 2012 9:00-11:00 INSTRUCTIONS: 1. Answer all seven (7) questions.

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 29, 2019 MOS Transistor Theory, MOS Model Penn ESE 570 Spring 2019 Khanna Lecture Outline! CMOS Process Enhancements! Semiconductor

More information

This is the author s final accepted version.

This is the author s final accepted version. Al-Ameri, T., Georgiev, V.P., Adamu-Lema, F. and Asenov, A. (2017) Does a Nanowire Transistor Follow the Golden Ratio? A 2D Poisson- Schrödinger/3D Monte Carlo Simulation Study. In: 2017 International

More information

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa Stretching the Barriers An analysis of MOSFET Scaling Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa Why Small? Higher Current Lower Gate Capacitance Higher

More information

Electrostatics of Nanowire Transistors

Electrostatics of Nanowire Transistors Electrostatics of Nanowire Transistors Jing Guo, Jing Wang, Eric Polizzi, Supriyo Datta and Mark Lundstrom School of Electrical and Computer Engineering Purdue University, West Lafayette, IN, 47907 ABSTRACTS

More information

Journal of Electron Devices, Vol. 18, 2013, pp JED [ISSN: ]

Journal of Electron Devices, Vol. 18, 2013, pp JED [ISSN: ] DrainCurrent-Id in linearscale(a/um) Id in logscale Journal of Electron Devices, Vol. 18, 2013, pp. 1582-1586 JED [ISSN: 1682-3427 ] SUITABILITY OF HIGH-k GATE DIELECTRICS ON THE DEVICE PERFORMANCE AND

More information

Comprehensive Understanding of Carrier Mobility in MOSFETs with Oxynitrides and Ultrathin Gate Oxides

Comprehensive Understanding of Carrier Mobility in MOSFETs with Oxynitrides and Ultrathin Gate Oxides Comprehensive Understanding of Carrier Mobility in MOSFETs with Oxynitrides and Ultrathin Gate Oxides T. Ishihara*, J. Koga*, and S. Takagi** * Advanced LSI Technology Laboratory, Corporate Research &

More information

Lecture 6 PN Junction and MOS Electrostatics(III) Metal-Oxide-Semiconductor Structure

Lecture 6 PN Junction and MOS Electrostatics(III) Metal-Oxide-Semiconductor Structure Lecture 6 PN Junction and MOS Electrostatics(III) Metal-Oxide-Semiconductor Structure Outline 1. Introduction to MOS structure 2. Electrostatics of MOS in thermal equilibrium 3. Electrostatics of MOS with

More information

ALD high-k and higher-k integration on GaAs

ALD high-k and higher-k integration on GaAs ALD high-k and higher-k integration on GaAs Ozhan Koybasi 1), Min Xu 1), Yiqun Liu 2), Jun-Jieh Wang 2), Roy G. Gordon 2), and Peide D. Ye 1)* 1) School of Electrical and Computer Engineering, Purdue University,

More information

MOSFET: Introduction

MOSFET: Introduction E&CE 437 Integrated VLSI Systems MOS Transistor 1 of 30 MOSFET: Introduction Metal oxide semiconductor field effect transistor (MOSFET) or MOS is widely used for implementing digital designs Its major

More information

Solid-State Electronics

Solid-State Electronics Solid-State Electronics 81 (2013) 119 123 Contents lists available at SciVerse ScienceDirect Solid-State Electronics journal homepage: www.elsevier.com/locate/sse Effect of surface preparation on the radiation

More information

! CMOS Process Enhancements. ! Semiconductor Physics. " Band gaps. " Field Effects. ! MOS Physics. " Cut-off. " Depletion.

! CMOS Process Enhancements. ! Semiconductor Physics.  Band gaps.  Field Effects. ! MOS Physics.  Cut-off.  Depletion. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 3, 018 MOS Transistor Theory, MOS Model Lecture Outline! CMOS Process Enhancements! Semiconductor Physics " Band gaps " Field Effects!

More information

MOS Transistor Properties Review

MOS Transistor Properties Review MOS Transistor Properties Review 1 VLSI Chip Manufacturing Process Photolithography: transfer of mask patterns to the chip Diffusion or ion implantation: selective doping of Si substrate Oxidation: SiO

More information

MOS CAPACITOR AND MOSFET

MOS CAPACITOR AND MOSFET EE336 Semiconductor Devices 1 MOS CAPACITOR AND MOSFET Dr. Mohammed M. Farag Ideal MOS Capacitor Semiconductor Devices Physics and Technology Chapter 5 EE336 Semiconductor Devices 2 MOS Capacitor Structure

More information

Solid-State Electronics

Solid-State Electronics Solid-State Electronics 52 (2008) 1884 1888 Contents lists available at ScienceDirect Solid-State Electronics journal homepage: www.elsevier.com/locate/sse Analysis of STI-induced mechanical stress-related

More information

Effective Capacitance Enhancement Methods for 90-nm DRAM Capacitors

Effective Capacitance Enhancement Methods for 90-nm DRAM Capacitors Journal of the Korean Physical Society, Vol. 44, No. 1, January 2004, pp. 112 116 Effective Capacitance Enhancement Methods for 90-nm DRAM Capacitors Y. K. Park, Y. S. Ahn, S. B. Kim, K. H. Lee, C. H.

More information

Scaling Issues in Planar FET: Dual Gate FET and FinFETs

Scaling Issues in Planar FET: Dual Gate FET and FinFETs Scaling Issues in Planar FET: Dual Gate FET and FinFETs Lecture 12 Dr. Amr Bayoumi Fall 2014 Advanced Devices (EC760) Arab Academy for Science and Technology - Cairo 1 Outline Scaling Issues for Planar

More information

Long Channel MOS Transistors

Long Channel MOS Transistors Long Channel MOS Transistors The theory developed for MOS capacitor (HO #2) can be directly extended to Metal-Oxide-Semiconductor Field-Effect transistors (MOSFET) by considering the following structure:

More information

Fundamentals of the Metal Oxide Semiconductor Field-Effect Transistor

Fundamentals of the Metal Oxide Semiconductor Field-Effect Transistor Triode Working FET Fundamentals of the Metal Oxide Semiconductor Field-Effect Transistor The characteristics of energy bands as a function of applied voltage. Surface inversion. The expression for the

More information

IN nanotechnology and microelectronics where low power

IN nanotechnology and microelectronics where low power C-V Characterization and Analysis of Temperature and Channel Thickness Effects on Threshold Voltage of Ultra-thin SOI MOSFET by Self-Consistent Model Shuvro Chowdhury, Esmat Farzana, Rizvi Ahmed, A. T.

More information

!""#$%&'("')*+,%*-'$(,".,#-#,%'+,/' /.&$0#%#'/(1+,%&'.,',+,(&$+2#'3*24'5.' 6758!9&!

!#$%&'(')*+,%*-'$(,.,#-#,%'+,/' /.&$0#%#'/(1+,%&'.,',+,(&$+2#'3*24'5.' 6758!9&! Università di Pisa!""#$%&'("')*+,%*-'$(,".,#-#,%'+,/' /.&$#%#'/(1+,%&'.,',+,(&$+#'3*'5.' 758!9&!!"#$%&'#()"*+"( H%8*'/%I-+/&#J%#)+-+-'%*#J-55K)+&'I*L%&+-M#5-//'&+%,*(#)+&'I*/%,*(#N-5-,&I=+%,*L%&+%(# @+%O-'.%/P#J%#F%.*#!"&,-..-(/#$$#''*$-(

More information

an introduction to Semiconductor Devices

an introduction to Semiconductor Devices an introduction to Semiconductor Devices Donald A. Neamen Chapter 6 Fundamentals of the Metal-Oxide-Semiconductor Field-Effect Transistor Introduction: Chapter 6 1. MOSFET Structure 2. MOS Capacitor -

More information

Section 12: Intro to Devices

Section 12: Intro to Devices Section 12: Intro to Devices Extensive reading materials on reserve, including Robert F. Pierret, Semiconductor Device Fundamentals EE143 Ali Javey Bond Model of Electrons and Holes Si Si Si Si Si Si Si

More information

Application of High-κ Gate Dielectrics and Metal Gate Electrodes to enable Silicon and Non-Silicon Logic Nanotechnology

Application of High-κ Gate Dielectrics and Metal Gate Electrodes to enable Silicon and Non-Silicon Logic Nanotechnology Application of High-κ Gate Dielectrics and Metal Gate Electrodes to enable Silicon and Non-Silicon Logic Nanotechnology Robert Chau, Justin Brask, Suman Datta, Gilbert Dewey, Mark Doczy, Brian Doyle, Jack

More information

! CMOS Process Enhancements. ! Semiconductor Physics. " Band gaps. " Field Effects. ! MOS Physics. " Cut-off. " Depletion.

! CMOS Process Enhancements. ! Semiconductor Physics.  Band gaps.  Field Effects. ! MOS Physics.  Cut-off.  Depletion. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 9, 019 MOS Transistor Theory, MOS Model Lecture Outline CMOS Process Enhancements Semiconductor Physics Band gaps Field Effects

More information

! Previously: simple models (0 and 1 st order) " Comfortable with basic functions and circuits. ! This week and next (4 lectures)

! Previously: simple models (0 and 1 st order)  Comfortable with basic functions and circuits. ! This week and next (4 lectures) ESE370: CircuitLevel Modeling, Design, and Optimization for Digital Systems Lec 6: September 14, 2015 MOS Model You are Here: Transistor Edition! Previously: simple models (0 and 1 st order) " Comfortable

More information

MOS Transistor I-V Characteristics and Parasitics

MOS Transistor I-V Characteristics and Parasitics ECEN454 Digital Integrated Circuit Design MOS Transistor I-V Characteristics and Parasitics ECEN 454 Facts about Transistors So far, we have treated transistors as ideal switches An ON transistor passes

More information

Comparative studies of Ge and Si p-channel metal oxide semiconductor field-effect-transistors with HfSiON dielectric and TaN metal gate

Comparative studies of Ge and Si p-channel metal oxide semiconductor field-effect-transistors with HfSiON dielectric and TaN metal gate Comparative studies of Ge and Si p-channel metal oxide semiconductor field-effect-transistors with HfSiON dielectric and TaN metal gate Hu Ai-Bin( 胡爱斌 ) and Xu Qiu-Xia( 徐秋霞 ) Institute of Microelectronics,

More information

Timing Simulation of 45 nm Technology and Analysis of Gate Tunneling Currents in 90, 65, 45, and 32 nm Technologies

Timing Simulation of 45 nm Technology and Analysis of Gate Tunneling Currents in 90, 65, 45, and 32 nm Technologies 1 Timing Simulation of 45 nm Technology and Analysis of Gate Tunneling Currents in 90, 65, 45, and 32 nm Technologies Steven P. Surgnier Abstract A timing simulation is presented for a MOSFET implemented

More information

Reduction of Self-heating effect in LDMOS devices

Reduction of Self-heating effect in LDMOS devices Reduction of Self-heating effect in LDMOS devices T.K.Maiti * and C. K. Maiti ** Department of Electronics and Electrical Communication Engineering, Indian Institute of Technology, Kharagpur-721302, India

More information

Overview of Modeling and Simulation TCAD - FLOOPS / FLOODS

Overview of Modeling and Simulation TCAD - FLOOPS / FLOODS Overview of Modeling and Simulation TCAD - FLOOPS / FLOODS Modeling Overview Strain Effects Thermal Modeling TCAD Modeling Outline FLOOPS / FLOODS Introduction Progress on GaN Devices Prospects for Reliability

More information

Final Examination EE 130 December 16, 1997 Time allotted: 180 minutes

Final Examination EE 130 December 16, 1997 Time allotted: 180 minutes Final Examination EE 130 December 16, 1997 Time allotted: 180 minutes Problem 1: Semiconductor Fundamentals [30 points] A uniformly doped silicon sample of length 100µm and cross-sectional area 100µm 2

More information

Section 12: Intro to Devices

Section 12: Intro to Devices Section 12: Intro to Devices Extensive reading materials on reserve, including Robert F. Pierret, Semiconductor Device Fundamentals Bond Model of Electrons and Holes Si Si Si Si Si Si Si Si Si Silicon

More information

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Lec 6: September 18, 2017 MOS Model You are Here: Transistor Edition! Previously: simple models (0 and 1 st order) " Comfortable

More information

Lecture 5: CMOS Transistor Theory

Lecture 5: CMOS Transistor Theory Lecture 5: CMOS Transistor Theory Slides courtesy of Deming Chen Slides based on the initial set from David Harris CMOS VLSI Design Outline q q q q q q q Introduction MOS Capacitor nmos I-V Characteristics

More information

Semiconductor Physics Problems 2015

Semiconductor Physics Problems 2015 Semiconductor Physics Problems 2015 Page and figure numbers refer to Semiconductor Devices Physics and Technology, 3rd edition, by SM Sze and M-K Lee 1. The purest semiconductor crystals it is possible

More information

Lecture 15 OUTLINE. MOSFET structure & operation (qualitative) Review of electrostatics The (N)MOS capacitor

Lecture 15 OUTLINE. MOSFET structure & operation (qualitative) Review of electrostatics The (N)MOS capacitor Lecture 15 OUTLINE MOSFET structure & operation (qualitative) Review of electrostatics The (N)MOS capacitor Electrostatics Charge vs. voltage characteristic Reading: Chapter 6.1 6.2.1 EE15 Spring 28 Lecture

More information

Impact of oxide thickness on gate capacitance Modelling and comparative analysis of GaN-based MOSHEMTs

Impact of oxide thickness on gate capacitance Modelling and comparative analysis of GaN-based MOSHEMTs PRAMANA c Indian Academy of Sciences Vol. 85, No. 6 journal of December 2015 physics pp. 1221 1232 Impact of oxide thickness on gate capacitance Modelling and comparative analysis of GaN-based MOSHEMTs

More information

Lecture 15 OUTLINE. MOSFET structure & operation (qualitative) Review of electrostatics The (N)MOS capacitor

Lecture 15 OUTLINE. MOSFET structure & operation (qualitative) Review of electrostatics The (N)MOS capacitor Lecture 15 OUTLINE MOSFET structure & operation (qualitative) Review of electrostatics The (N)MOS capacitor Electrostatics t ti Charge vs. voltage characteristic Reading: Chapter 6.1 6.2.1 EE105 Fall 2007

More information

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications D. Tsoukalas, S. Kolliopoulou, P. Dimitrakis, P. Normand Institute of Microelectronics, NCSR Demokritos, Athens, Greece S. Paul,

More information

SECTION: Circle one: Alam Lundstrom. ECE 305 Exam 5 SOLUTIONS: Spring 2016 April 18, 2016 M. A. Alam and M.S. Lundstrom Purdue University

SECTION: Circle one: Alam Lundstrom. ECE 305 Exam 5 SOLUTIONS: Spring 2016 April 18, 2016 M. A. Alam and M.S. Lundstrom Purdue University NAME: PUID: SECTION: Circle one: Alam Lundstrom ECE 305 Exam 5 SOLUTIONS: April 18, 2016 M A Alam and MS Lundstrom Purdue University This is a closed book exam You may use a calculator and the formula

More information

Device 3D. 3D Device Simulator. Nano Scale Devices. Fin FET

Device 3D. 3D Device Simulator. Nano Scale Devices. Fin FET Device 3D 3D Device Simulator Device 3D is a physics based 3D device simulator for any device type and includes material properties for the commonly used semiconductor materials in use today. The physical

More information

Impact of Silicon Wafer Orientation on the Performance of Metal Source/Drain MOSFET in Nanoscale Regime: a Numerical Study

Impact of Silicon Wafer Orientation on the Performance of Metal Source/Drain MOSFET in Nanoscale Regime: a Numerical Study JNS 2 (2013) 477-483 Impact of Silicon Wafer Orientation on the Performance of Metal Source/Drain MOSFET in Nanoscale Regime: a Numerical Study Z. Ahangari *a, M. Fathipour b a Department of Electrical

More information

Semiconductor Physics fall 2012 problems

Semiconductor Physics fall 2012 problems Semiconductor Physics fall 2012 problems 1. An n-type sample of silicon has a uniform density N D = 10 16 atoms cm -3 of arsenic, and a p-type silicon sample has N A = 10 15 atoms cm -3 of boron. For each

More information

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today MOS MOS. Capacitor. Idea

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today MOS MOS. Capacitor. Idea ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 9: September 26, 2011 MOS Model Today MOS Structure Basic Idea Semiconductor Physics Metals, insulators Silicon lattice

More information

VLSI Design The MOS Transistor

VLSI Design The MOS Transistor VLSI Design The MOS Transistor Frank Sill Torres Universidade Federal de Minas Gerais (UFMG), Brazil VLSI Design: CMOS Technology 1 Outline Introduction MOS Capacitor nmos I-V Characteristics pmos I-V

More information

Enhancing the Performance of Organic Thin-Film Transistor using a Buffer Layer

Enhancing the Performance of Organic Thin-Film Transistor using a Buffer Layer Proceedings of the 9th International Conference on Properties and Applications of Dielectric Materials July 19-23, 29, Harbin, China L-7 Enhancing the Performance of Organic Thin-Film Transistor using

More information

SILICON-ON-INSULATOR (SOI) technology has been

SILICON-ON-INSULATOR (SOI) technology has been 1122 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 45, NO. 5, MAY 1998 Monte Carlo Simulation of Electron Transport Properties in Extremely Thin SOI MOSFET s Francisco Gámiz, Member, IEEE, Juan A. López-Villanueva,

More information

Investigation of the Dimension Effects of Sub-30nm Multiple-Gate SOI MOSFETs by TCAD Simulation

Investigation of the Dimension Effects of Sub-30nm Multiple-Gate SOI MOSFETs by TCAD Simulation Microelectronics and olid tate Electronics 212, 1(3): 64-68 OI: 1.5923/j.msse.21224.3 Investigation of the imension Effects of ub-3nm Multiple-ate OI MOFETs by TCA imulation Keng-Ming Liu *, Yung-Yu Hsieh

More information

High Dielectric Constant (k) Materials

High Dielectric Constant (k) Materials Part 6: High Dielectric Constant (k), Gate Electrode, & Channel Materials O 2 gate ide is approaching physical limits Thickness & Current M O S poly-crystalline V Source W Source Contact Insulator n +

More information

P. R. Nelson 1 ECE418 - VLSI. Midterm Exam. Solutions

P. R. Nelson 1 ECE418 - VLSI. Midterm Exam. Solutions P. R. Nelson 1 ECE418 - VLSI Midterm Exam Solutions 1. (8 points) Draw the cross-section view for A-A. The cross-section view is as shown below.. ( points) Can you tell which of the metal1 regions is the

More information

(a) (b) Supplementary Figure 1. (a) (b) (a) Supplementary Figure 2. (a) (b) (c) (d) (e)

(a) (b) Supplementary Figure 1. (a) (b) (a) Supplementary Figure 2. (a) (b) (c) (d) (e) (a) (b) Supplementary Figure 1. (a) An AFM image of the device after the formation of the contact electrodes and the top gate dielectric Al 2 O 3. (b) A line scan performed along the white dashed line

More information

CMOS Scaling. Two motivations to scale down. Faster transistors, both digital and analog. To pack more functionality per area. Lower the cost!

CMOS Scaling. Two motivations to scale down. Faster transistors, both digital and analog. To pack more functionality per area. Lower the cost! Two motivations to scale down CMOS Scaling Faster transistors, both digital and analog To pack more functionality per area. Lower the cost! (which makes (some) physical sense) Scale all dimensions and

More information

CMPEN 411 VLSI Digital Circuits. Lecture 03: MOS Transistor

CMPEN 411 VLSI Digital Circuits. Lecture 03: MOS Transistor CMPEN 411 VLSI Digital Circuits Lecture 03: MOS Transistor Kyusun Choi [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] CMPEN 411 L03 S.1

More information

+ V gate M O. Trend: As k, E g. Part 6: High Dielectric Constant (k), Gate Electrode, & Channel Materials. Bandgap versus Dielectric Constant (k) k k

+ V gate M O. Trend: As k, E g. Part 6: High Dielectric Constant (k), Gate Electrode, & Channel Materials. Bandgap versus Dielectric Constant (k) k k Part 6: High Dielectric Constant (k), Gate Electrode, & Channel Materials O 2 gate oxide is approaching physical limits Thickness & Current M O S poly-crystalline V Source W Source Contact Insulator n

More information

ECE 305 Exam 5 SOLUTIONS: Spring 2015 April 17, 2015 Mark Lundstrom Purdue University

ECE 305 Exam 5 SOLUTIONS: Spring 2015 April 17, 2015 Mark Lundstrom Purdue University NAME: PUID: : ECE 305 Exam 5 SOLUTIONS: April 17, 2015 Mark Lundstrom Purdue University This is a closed book exam. You may use a calculator and the formula sheet at the end of this exam. Following the

More information

OMEN an atomistic and full-band quantum transport simulator for post-cmos nanodevices

OMEN an atomistic and full-band quantum transport simulator for post-cmos nanodevices Purdue University Purdue e-pubs Other Nanotechnology Publications Birck Nanotechnology Center 8-18-28 OMEN an atomistic and full-band quantum transport simulator for post-cmos nanodevices Mathieu Luisier

More information

Top Gate Planner Carbon Nanotube Field Effect Transistor using Nanohub

Top Gate Planner Carbon Nanotube Field Effect Transistor using Nanohub Top Gate Planner Carbon Nanotube Field Effect Transistor using Nanohub G. K. Pandey 1, U.N. Tripathi 2, Manish Mishra 3 1,3 Department of Electronics, DDU Gorakhpur University, Gorakhpur -273009, India.

More information

Part 5: Quantum Effects in MOS Devices

Part 5: Quantum Effects in MOS Devices Quantum Effects Lead to Phenomena such as: Ultra Thin Oxides Observe: High Leakage Currents Through the Oxide - Tunneling Depletion in Poly-Si metal gate capacitance effect Thickness of Inversion Layer

More information

Choice of V t and Gate Doping Type

Choice of V t and Gate Doping Type Choice of V t and Gate Doping Type To make circuit design easier, it is routine to set V t at a small positive value, e.g., 0.4 V, so that, at V g = 0, the transistor does not have an inversion layer and

More information

Control of Flat Band Voltage by Partial Incorporation of La 2 O 3 or Sc 2 O 3 into HfO 2 in Metal/HfO 2 /SiO 2 /Si MOS Capacitors

Control of Flat Band Voltage by Partial Incorporation of La 2 O 3 or Sc 2 O 3 into HfO 2 in Metal/HfO 2 /SiO 2 /Si MOS Capacitors Control of Flat Band Voltage by Partial Incorporation of La 2 O 3 or Sc 2 O 3 into HfO 2 in Metal/HfO 2 /SiO 2 /Si MOS Capacitors M. Adachi 1, K. Okamoto 1, K. Kakushima 2, P. Ahmet 1, K. Tsutsui 2, N.

More information

Enhanced Mobility CMOS

Enhanced Mobility CMOS Enhanced Mobility CMOS Judy L. Hoyt I. Åberg, C. Ni Chléirigh, O. Olubuyide, J. Jung, S. Yu, E.A. Fitzgerald, and D.A. Antoniadis Microsystems Technology Laboratory MIT, Cambridge, MA 02139 Acknowledge

More information

The relentless march of the MOSFET gate oxide thickness to zero

The relentless march of the MOSFET gate oxide thickness to zero Microelectronics Reliability 40 (2000) 557±562 www.elsevier.com/locate/microrel The relentless march of the MOSFET gate oxide thickness to zero G. Timp a, *, J. Bude a, F. Baumann a, K.K. Bourdelle a,

More information

PROGRESS AND ISSUES IN DIELECTRIC MATERIALS FOR SUB-100NM DRAM TECHNOLOGY ABSTRACT

PROGRESS AND ISSUES IN DIELECTRIC MATERIALS FOR SUB-100NM DRAM TECHNOLOGY ABSTRACT PROGRESS AND ISSUES IN DIELECTRIC MATERIALS FOR SUB-100NM DRAM TECHNOLOGY Kanta Saino Device Integration Group, Technology & Development Office, Elpida Memory Inc. 7-10 Yoshikawakogyodanchi, Higashihiroshima,

More information

Extensive reading materials on reserve, including

Extensive reading materials on reserve, including Section 12: Intro to Devices Extensive reading materials on reserve, including Robert F. Pierret, Semiconductor Device Fundamentals EE143 Ali Javey Bond Model of Electrons and Holes Si Si Si Si Si Si Si

More information

MOS Capacitors ECE 2204

MOS Capacitors ECE 2204 MOS apacitors EE 2204 Some lasses of Field Effect Transistors Metal-Oxide-Semiconductor Field Effect Transistor MOSFET, which will be the type that we will study in this course. Metal-Semiconductor Field

More information

Defects in Semiconductors

Defects in Semiconductors Defects in Semiconductors Mater. Res. Soc. Symp. Proc. Vol. 1370 2011 Materials Research Society DOI: 10.1557/opl.2011. 771 Electronic Structure of O-vacancy in High-k Dielectrics and Oxide Semiconductors

More information

Semiconductor Devices. C. Hu: Modern Semiconductor Devices for Integrated Circuits Chapter 5

Semiconductor Devices. C. Hu: Modern Semiconductor Devices for Integrated Circuits Chapter 5 Semiconductor Devices C. Hu: Modern Semiconductor Devices for Integrated Circuits Chapter 5 Global leader in environmental and industrial measurement Wednesday 3.2. afternoon Tour around facilities & lecture

More information

Challenges and Opportunities. Prof. J. Raynien Kwo 年

Challenges and Opportunities. Prof. J. Raynien Kwo 年 Nanoelectronics Beyond Si: Challenges and Opportunities Prof. J. Raynien Kwo 年 立 Si CMOS Device Scaling Beyond 22 nm node High κ,, Metal gates, and High mobility channel 1947 First Transistor 1960 1960

More information

Nanoelectronics. Topics

Nanoelectronics. Topics Nanoelectronics Topics Moore s Law Inorganic nanoelectronic devices Resonant tunneling Quantum dots Single electron transistors Motivation for molecular electronics The review article Overview of Nanoelectronic

More information

8.1 Drift diffusion model

8.1 Drift diffusion model 8.1 Drift diffusion model Advanced theory 1 Basic Semiconductor Equations The fundamentals of semiconductor physic are well described by tools of quantum mechanic. This point of view gives us a model of

More information

Lecture 12: MOS Capacitors, transistors. Context

Lecture 12: MOS Capacitors, transistors. Context Lecture 12: MOS Capacitors, transistors Context In the last lecture, we discussed PN diodes, and the depletion layer into semiconductor surfaces. Small signal models In this lecture, we will apply those

More information

! Previously: simple models (0 and 1 st order) " Comfortable with basic functions and circuits. ! This week and next (4 lectures)

! Previously: simple models (0 and 1 st order)  Comfortable with basic functions and circuits. ! This week and next (4 lectures) ESE370: CircuitLevel Modeling, Design, and Optimization for Digital Systems Lec 6: September 18, 2017 MOS Model You are Here: Transistor Edition! Previously: simple models (0 and 1 st order) " Comfortable

More information

Solid State Device Fundamentals

Solid State Device Fundamentals Solid State Device Fundamentals ENS 345 Lecture Course by Alexander M. Zaitsev alexander.zaitsev@csi.cuny.edu Tel: 718 982 2812 Office 4N101b 1 Outline - Goals of the course. What is electronic device?

More information

Proposed Thermal Circuit Model for the Cost Effective Design of Fin FET

Proposed Thermal Circuit Model for the Cost Effective Design of Fin FET Proposed Thermal Circuit Model for the Cost Effective Design of Fin FET Abstract A K M Kamrul Hasan, MD. Nizamul Islam, Dewan Siam Shafiullah Islamic University of Technology (IUT) Gazipur, Bangladesh.

More information

ELEN0037 Microelectronic IC Design. Prof. Dr. Michael Kraft

ELEN0037 Microelectronic IC Design. Prof. Dr. Michael Kraft ELEN0037 Microelectronic IC Design Prof. Dr. Michael Kraft Lecture 2: Technological Aspects Technology Passive components Active components CMOS Process Basic Layout Scaling CMOS Technology Integrated

More information

Hot-Carrier Reliability Comparison for pmosfets With Ultrathin Silicon-Nitride and Silicon-Oxide Gate Dielectrics

Hot-Carrier Reliability Comparison for pmosfets With Ultrathin Silicon-Nitride and Silicon-Oxide Gate Dielectrics 158 IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 1, NO. 3, SEPTEMBER 2001 Hot-Carrier Reliability Comparison for pmosfets With Ultrathin Silicon-Nitride and Silicon-Oxide Gate Dielectrics

More information

Gate Carrier Injection and NC-Non- Volatile Memories

Gate Carrier Injection and NC-Non- Volatile Memories Gate Carrier Injection and NC-Non- Volatile Memories Jean-Pierre Leburton Department of Electrical and Computer Engineering and Beckman Institute University of Illinois at Urbana-Champaign Urbana, IL 61801,

More information

Modeling and Computation of Gate Tunneling Current through Ultra Thin Gate Oxides in Double Gate MOSFETs with Ultra Thin Body Silicon Channel

Modeling and Computation of Gate Tunneling Current through Ultra Thin Gate Oxides in Double Gate MOSFETs with Ultra Thin Body Silicon Channel Modeling and Computation of Gate Tunneling Current through Ultra Thin Gate Oxides in Double Gate MOSFETs with Ultra Thin Body Silicon Channel Bhadrinarayana L V 17 th July 2008 Microelectronics Lab, Indian

More information

ECE 340 Lecture 39 : MOS Capacitor II

ECE 340 Lecture 39 : MOS Capacitor II ECE 340 Lecture 39 : MOS Capacitor II Class Outline: Effects of Real Surfaces Threshold Voltage MOS Capacitance-Voltage Analysis Things you should know when you leave Key Questions What are the effects

More information

QUANTIZATION of the transverse electron motion in the

QUANTIZATION of the transverse electron motion in the IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 44, NO. 11, NOVEMBER 1997 1915 Effects of the Inversion Layer Centroid on MOSFET Behavior Juan A. López-Villanueva, Pedro Cartujo-Casinello, Jesus Banqueri,

More information

SILICON-ON-INSULATOR (SOI) technology has been regarded

SILICON-ON-INSULATOR (SOI) technology has been regarded IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 53, NO. 10, OCTOBER 2006 2559 Analysis of the Gate Source/Drain Capacitance Behavior of a Narrow-Channel FD SOI NMOS Device Considering the 3-D Fringing Capacitances

More information

Physics-based compact model for ultimate FinFETs

Physics-based compact model for ultimate FinFETs Physics-based compact model for ultimate FinFETs Ashkhen YESAYAN, Nicolas CHEVILLON, Fabien PREGALDINY, Morgan MADEC, Christophe LALLEMENT, Jean-Michel SALLESE nicolas.chevillon@iness.c-strasbourg.fr Research

More information