EE 292L : Nanomanufacturing. Week 5: Advanced Process Technology. Oct

Size: px
Start display at page:

Download "EE 292L : Nanomanufacturing. Week 5: Advanced Process Technology. Oct"

Transcription

1 EE 292L : Nanomanufacturing Week 5: Advanced Process Technology Oct Advanced Process Technology 1 HAR etch 2 3 HAR Gapfill Metal ALD 4 Reflow 5 6 SAC Airgap 7 8 Strain Ge/III-V Engineering High-k / ALD Metal gate 2 EE292L: Aneesh Nainani 1

2 Deep Trenches & Skyscrapers Mariana Trench Burj Khalifa 3 Deep Trenches & Skyscrapers Mariana Trench H = 2550 km W =70 km AR = H/W = 2550/70 ~ 37 4 EE292L: Aneesh Nainani 2

3 Deep Trenches & Skyscrapers Burj Khalifa H = 828 m W av =100 m W bot =175 m 1.5B USD AR = H/W = 828/100 ~ B USD 5 Deep Trenches & Skyscrapers: DRAM H = 2000nm W =30nm AR = H/W = 2000/30 ~ 67 6 EE292L: Aneesh Nainani 3

4 7 8 EE292L: Aneesh Nainani 4

5 Deep Trenches & Skyscrapers: NAND Along WL H = 217m W =20-30nm AR ~ 10 Non scaling of STI depth due to no voltage scaling No voltage scaling due to no Tox scaling 9 Deep Trenches & Skyscrapers: FinFET AR ~ 5-10 TSMC, IEDM 10 Fin height to be tall for isolation Width small to ensure good gate control with L G scaling 10 EE292L: Aneesh Nainani 5

6 Deep Trenches & Skyscrapers: TSV TSV + 3-D Stacking <10 um System-in-Package SoC Package DRAM SoC AR ~ Width to be small to reduce keep out zone / layout penalty Height determined by wafer thickness 11 1 HAR etch High Aspect Ratio Etch Specs Vertical sidewall High anisotropy / selectivity No sidewall damage Effects : Charging Limited flux at higher depth 12 EE292L: Aneesh Nainani 6

7 1 HAR etch Aspect Ratio Dependent Etch 13 Deep Trenches & Skyscrapers: TSV Bosch process : borrowed from MEMS Cyclic : passivation and etching Fast 1 HAR etch 14 EE292L: Aneesh Nainani 7

8 Bosch Etch: TSV 1 HAR etch Looks like the outer surface of a screw 15 Pattern Collapse Stacked Capacitor DRAM Pattern collapse of HAR structures major yield limiter 16 EE292L: Aneesh Nainani 8

9 Pattern Collapse Fundamental Yield Limiter for Planar NAND --Structure deformation Design Rules define d and L. Nothing we can do about this HAR Gapfill Ideal world bottom step coverage = 1 side step coverage = 1 18 EE292L: Aneesh Nainani 9

10 2 HAR Gapfill High Aspect Ratio Fill: PVD? Physical vapor deposition (PVD) : Evaporation, Sputtering conventional metal deposition technique: widely used for Al interconnects produces Cu films with strong (111) texture and smooth surface, in general poor step coverage: not tolerable for filling high-aspect ratio features Deposited film 19 2 HAR Gapfill CVD with low S c Reactant transport at low pressure Mean free path >> interconnect dimension Sticking Coefficient Shadowing of the direct flux by the walls reduces the flux at the bottom corners of a via or a trench resulting in thinner deposition Lower Sc increases the number of bounces, increasing the probability of deposition precursors reaching the bottom. This, improving step coverage 20 EE292L: Aneesh Nainani 10

11 2 HAR Gapfill Step Coverage in PVD & CVD CVD W used extensively for via filling 21 2 HAR Gapfill ALD Pros Ideal sidewall coverage Cons : Slow High R films Carbon contamination 22 EE292L: Aneesh Nainani 11

12 4 Reflow Reflow Capillary action 23 4 Reflow Reflow Reflow being adapted for BEOL Cu 24 EE292L: Aneesh Nainani 12

13 Questions? 25 Parasitics : R and C we don t need 26 EE292L: Aneesh Nainani 13

14 Parasitics : R and C we don t need Intel 22nm R T = R ch + R par PMOS : R T = 72kΩ/µm NMOS : R T = 64kΩ/µm R par = 20-30kΩ/µm 27 5 SAC No space for contacts! 28 EE292L: Aneesh Nainani 14

15 5 SAC Self Aligned Contact : immunity to misalignment Good old days C B A Tough, but manageable C B1 A B2 B1 C1 Uh oh B2 C2 A2 B3 Overlay complexity is non-trivial to manage A SAC How to make SAC K. Mistry, Semicon EE292L: Aneesh Nainani 15

16 5 SAC Immunity to misalignment K. Mistry, Semicon SAC SAC in NOR flash 45nm NOR 32 EE292L: Aneesh Nainani 16

17 5 SAC SAC in DRAM 65nm DRAM 33 5 SAC SAC bad for parasitics Synopsys 34 EE292L: Aneesh Nainani 17

18 5 SAC SAC bad for parasitics Synopsys 35 Parasitics : R and C we don t need global semiglobal local RC delay drives latency in global interconnects 36 EE292L: Aneesh Nainani 18

19 Parasitics : R and C we don t need 37 Parasitics : R and C we don t need Black are the good capacitances Red are the parasitics Red can be 50% of the overall 38 EE292L: Aneesh Nainani 19

20 6 Airgap Airgap advantages 39 6 Airgap Airgap advantages: Better Electromigration and stress performance Electromigration Cumulative Probability Air-gap Dielectric Hours Air-Gap splits show significantly longer lifetimes than Gapfill split Leakage data indicates no breakdown well above operating voltage. Airgap can absorb this stress Ref: Shieh, et al., IEEE IITC, EE292L: Aneesh Nainani 20

21 6 Airgap Airgap in NAND Reduced FG-FG coupling Tighter VT distribution 41 6 Airgap Airgap using sacrificial polymer Issues : Heating to remove sacrifical polymer Corrosion of metal if wet etch Park, Journal of Electronic Materials, EE292L: Aneesh Nainani 21

22 6 Airgap Airgap using sacrificial polymer Park, Journal of Electronic Materials, Airgap Airgap using non-conformal CVD Issues : Seam formation CMP 44 EE292L: Aneesh Nainani 22

23 6 Airgap Airgaps where we need them Airgap only in the narrow trenches Most needed in narrow trenches 45 6 Airgap Mutilevel Airgap Scheme Issues : Selectivity Collapse Toshiba 46 EE292L: Aneesh Nainani 23

24 Questions? 47 THE interface is THE device 48 EE292L: Aneesh Nainani 24

25 49 THE interface is THE device G S D 90nm : Planar < 1% 22nm : FinFET 10-15% Interface volume : interface area x 1nm 50 EE292L: Aneesh Nainani 25

26 THE interface is THE device Old l A New (scaled) 90nm < 1% 22nm 5-10% Interface volume : interface area x 1nm 1. Semiconductor/dielectric: Gate Stack 2. Metal/metal : BEOL (Cu/TaN) 3. Semiconductor/metal: S/D contact 51 7 Ge/III-V Motivation for Alternate Channel Materials Electron Mobility (cm 2 /V-s) Hole Mobility (cm 2 /V-s) Si Ge InAs Bandgap (ev) Dielectric Constant Melt T (ºC) STI Oxide 52 EE292L: Aneesh Nainani 26

27 Mobility in scaled transistors E eff Electron t ox=35 Å t ox=70 Å eff E eff Hole -2 E eff µ Interface Roughness E eff 1 µm CMOS 0.1 µm CMOS E eff (MV/cm) E eff Mobility at high electric field limited by interface scattering 53 Interface dominates FinFETs with scaling Synopsys 54 EE292L: Aneesh Nainani 27

28 7 Ge/III-V Ge Gate Interface Approaches Si Cap for HfO 2 -based Al 2 O 3 Interface Layer 200 Hole Mobility (cm 2 /V-s) nm Si Cap w/o Si Cap Hole Density (x10 12 cm -2 ) Source: Intel, IEDM 2010 Source: S, 2010 Advantages of high-mobility material limited by poor interface 55 Metal-metal interface Effective resistivity (microohm-cm) Technology Node (µm) Global T=100 0 C T=27 0 C Year Kapur, McVittie & Saraswat IEEE Trans. Electron Dev. April EE292L: Aneesh Nainani 28

29 Quiz Metal B (TaN) Metal A (Cu) R 1 R 2 Metal B (TaN) Metal A (Cu) R = R 1 R 2 /(R 1 +R 2 ) 57 Quiz Feldman, APL 2009 Rossnagel, JVST 2004 Depositing Ta layer on top of copper degrades overall resistance 58 EE292L: Aneesh Nainani 29

30 Thin Film Resistivity: Surface Scattering ρ ρ o = (1 p) l w ρ is the resistivity of thin film ρ o is the resistivity of the bulk p is the fraction of electrons scattered at the surface w is the width of the wire (smallest dimension of the interconnect) l is the mean free path of the bulk material. p = 0 p = 1 Diffuse scattering Lower Mobility Elastic scattering No Change in Mobility E. H. Sondheimer, Adv. Physics, Metal-semiconductor contact 60 EE292L: Aneesh Nainani 30

31 Questions? 61 Physics & Chemistry 62 EE292L: Aneesh Nainani 31

32 Formation of Energy Bands (1) If atoms are close to each other, potential barrier is strong, energy bands are narrowed and spaced far apart. (Corresponds to crystals in which electrons tightly bond to ion cores, and wave functions do not overlap much with adjacent cores) (2) If atoms are far apart, potential barrier is weak, energy bands are wide and spaced close together. 63 Si bandstructure Silicon Band Structure m t m l (z) 001 (y) 010 (x)100 6 equivalent types of electrons are involved in conduction regime of nmos 2 types of holes are involved in conduction regime of pmos : heavy and light Source: F. Boeuf (ST Microelectronics) 64 EE292L: Aneesh Nainani 32

33 Strain breaks crystal symmetry Band structure without strain Band structure deformation Band Splitting Sub-band carrier redistribution - Carriers occupy valleys with lighter mass Less intervalley phonon scattering Mobility is increased Iso-energy ellipsoid m l m t m t m t < m l 65 Desired valley property Silicon 1 = 1 d 2 E m * h 2 dk 2 k =k 0 DOS α (m*) 3/2 Valley should have the desired property of - Low m transport along channel - High m width along width - High m perpendicular normal to surface 66 EE292L: Aneesh Nainani 33

34 What happens to electrons in strained Si? Unstrained Si Strained Si 2-fold perpendicular valleys <001> 4-fold in-plane valleys Lower µ n e 3D <100> <010> c 2D (001) Higher µ n In strained Si the 6 fold degenerate valleys split into 2 types of valleys. More electrons occupy the 2 fold degenerate valleys where the conductivity effective mass is lower and hence the mobility is higher 67 Effects of Strain E-k diagrams of valance band of strained Si Heavy hole band Light hole band LH HH HH LH When spacing of atoms in a crystal is altered the energy band structure changes and the shape of the E-k diagrams changes Energy levels, effective mass and density of states (DOS) change 1 = 1 d 2 E m * h 2 dk 2 k =k 0 vα(m*) -1/2 and DOS α (m*) 3/2 68 EE292L: Aneesh Nainani 34

35 Holes in Strained Si Unstrained Si Tensile strained Si 2 fold degenerate band split into light and heavy hole bands Light hole band is at lower energy and has more holes in it Reduction in conductivity mass Supression of inter-valley scattering higher µ 69 Redistribution in subbands and scattering reduction Fermi-Dirac Energy (ev) Si bulk N Unstrained Si SO HH LH >80 % in HH Energy (ev) Si/Si 0.5 Ge 0.5 E(LH-HH) Strained-Si LH < 1 % in H SO HH -0.5 [100] Γ [110] -0.3 [100] Γ [110] E In strained Si more holes occupy the band where the conductivity effective mass is lower and hence the mobility is higher Source: F. Boeuf (ST Microelectronics) 70 EE292L: Aneesh Nainani 35

36 Stress in novel transistor options? 71 MASTAR demo: will be used in PS-2 72 EE292L: Aneesh Nainani 36

37 pmos : hole bands under strain (MASTAR) 73 Strain Doodle : 74 EE292L: Aneesh Nainani 37

Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling

Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling Victor Moroz *, Munkang Choi *, Geert Van der Plas, Paul Marchal, Kristof Croes, and Eric Beyne * Motivation: Build Reliable 3D IC

More information

Lecture 9. Strained-Si Technology I: Device Physics

Lecture 9. Strained-Si Technology I: Device Physics Strain Analysis in Daily Life Lecture 9 Strained-Si Technology I: Device Physics Background Planar MOSFETs FinFETs Reading: Y. Sun, S. Thompson, T. Nishida, Strain Effects in Semiconductors, Springer,

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

TCAD Modeling of Stress Impact on Performance and Reliability

TCAD Modeling of Stress Impact on Performance and Reliability TCAD Modeling of Stress Impact on Performance and Reliability Xiaopeng Xu TCAD R&D, Synopsys March 16, 2010 SEMATECH Workshop on Stress Management for 3D ICs using Through Silicon Vias 1 Outline Introduction

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 29, 2019 MOS Transistor Theory, MOS Model Penn ESE 570 Spring 2019 Khanna Lecture Outline! CMOS Process Enhancements! Semiconductor

More information

EE410 vs. Advanced CMOS Structures

EE410 vs. Advanced CMOS Structures EE410 vs. Advanced CMOS Structures Prof. Krishna S Department of Electrical Engineering S 1 EE410 CMOS Structure P + poly-si N + poly-si Al/Si alloy LPCVD PSG P + P + N + N + PMOS N-substrate NMOS P-well

More information

Chapter 3 Basics Semiconductor Devices and Processing

Chapter 3 Basics Semiconductor Devices and Processing Chapter 3 Basics Semiconductor Devices and Processing Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives Identify at least two

More information

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa Stretching the Barriers An analysis of MOSFET Scaling Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa Why Small? Higher Current Lower Gate Capacitance Higher

More information

CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS

CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS 98 CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS In this chapter, the effect of gate electrode work function variation on DC

More information

! CMOS Process Enhancements. ! Semiconductor Physics. " Band gaps. " Field Effects. ! MOS Physics. " Cut-off. " Depletion.

! CMOS Process Enhancements. ! Semiconductor Physics.  Band gaps.  Field Effects. ! MOS Physics.  Cut-off.  Depletion. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 9, 019 MOS Transistor Theory, MOS Model Lecture Outline CMOS Process Enhancements Semiconductor Physics Band gaps Field Effects

More information

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room).

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). The Final Exam will take place from 12:30PM to 3:30PM on Saturday May 12 in 60 Evans.» All of

More information

EE143 LAB. Professor N Cheung, U.C. Berkeley

EE143 LAB. Professor N Cheung, U.C. Berkeley EE143 LAB 1 1 EE143 Equipment in Cory 218 2 Guidelines for Process Integration * A sequence of Additive and Subtractive steps with lateral patterning Processing Steps Si wafer Watch out for materials compatibility

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 23, 2018 MOS Transistor Theory, MOS Model Penn ESE 570 Spring 2018 Khanna Lecture Outline! CMOS Process Enhancements! Semiconductor

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 10/30/2007 MOSFETs Lecture 4 Reading: Chapter 17, 19 Announcements The next HW set is due on Thursday. Midterm 2 is next week!!!! Threshold and Subthreshold

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction q Integrated circuits: many transistors on one chip q Very Large Scale Integration (VLSI): bucketloads! q Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing EE115C Winter 2017 Digital Electronic Circuits Lecture 3: MOS RC Model, CMOS Manufacturing Agenda MOS Transistor: RC Model (pp. 104-113) S R on D CMOS Manufacturing Process (pp. 36-46) S S C GS G G C GD

More information

! CMOS Process Enhancements. ! Semiconductor Physics. " Band gaps. " Field Effects. ! MOS Physics. " Cut-off. " Depletion.

! CMOS Process Enhancements. ! Semiconductor Physics.  Band gaps.  Field Effects. ! MOS Physics.  Cut-off.  Depletion. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 3, 018 MOS Transistor Theory, MOS Model Lecture Outline! CMOS Process Enhancements! Semiconductor Physics " Band gaps " Field Effects!

More information

Carbon Nanotubes for Interconnect Applications Franz Kreupl, Andrew P. Graham, Maik Liebau, Georg S. Duesberg, Robert Seidel, Eugen Unger

Carbon Nanotubes for Interconnect Applications Franz Kreupl, Andrew P. Graham, Maik Liebau, Georg S. Duesberg, Robert Seidel, Eugen Unger Carbon Nanotubes for Interconnect Applications Franz Kreupl, Andrew P. Graham, Maik Liebau, Georg S. Duesberg, Robert Seidel, Eugen Unger Infineon Technologies Corporate Research Munich, Germany Outline

More information

Research Challenges and Opportunities. in 3D Integrated Circuits. Jan 30, 2009

Research Challenges and Opportunities. in 3D Integrated Circuits. Jan 30, 2009 Jan 3, 29 Research Challenges and Opportunities in 3D Integrated Circuits Ankur Jain ankur.jain@freescale.com, ankurjain@stanfordalumni.org Freescale Semiconductor, Inc. 28. 1 What is Three-dimensional

More information

PROGRESS AND ISSUES IN DIELECTRIC MATERIALS FOR SUB-100NM DRAM TECHNOLOGY ABSTRACT

PROGRESS AND ISSUES IN DIELECTRIC MATERIALS FOR SUB-100NM DRAM TECHNOLOGY ABSTRACT PROGRESS AND ISSUES IN DIELECTRIC MATERIALS FOR SUB-100NM DRAM TECHNOLOGY Kanta Saino Device Integration Group, Technology & Development Office, Elpida Memory Inc. 7-10 Yoshikawakogyodanchi, Higashihiroshima,

More information

The Pennsylvania State University. Kurt J. Lesker Company. North Carolina State University. Taiwan Semiconductor Manufacturing Company 1

The Pennsylvania State University. Kurt J. Lesker Company. North Carolina State University. Taiwan Semiconductor Manufacturing Company 1 Enhancement Mode Strained (1.3%) Germanium Quantum Well FinFET (W fin =20nm) with High Mobility (μ Hole =700 cm 2 /Vs), Low EOT (~0.7nm) on Bulk Silicon Substrate A. Agrawal 1, M. Barth 1, G. B. Rayner

More information

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Lec 6: September 18, 2017 MOS Model You are Here: Transistor Edition! Previously: simple models (0 and 1 st order) " Comfortable

More information

Electronics with 2D Crystals: Scaling extender, or harbinger of new functions?

Electronics with 2D Crystals: Scaling extender, or harbinger of new functions? Electronics with 2D Crystals: Scaling extender, or harbinger of new functions? 1 st Workshop on Data Abundant Systems Technology Stanford, April 2014 Debdeep Jena (djena@nd.edu) Electrical Engineering,

More information

L ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling

L ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling L13 04202017 ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling Scaling laws: Generalized scaling (GS) p. 610 Design steps p.613 Nanotransistor issues (page 626) Degradation

More information

EEC 118 Lecture #2: MOSFET Structure and Basic Operation. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #2: MOSFET Structure and Basic Operation. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 118 Lecture #2: MOSFET Structure and Basic Operation Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Announcements Lab 1 this week, report due next week Bring

More information

High Mobility Materials and Novel Device Structures for High Performance Nanoscale MOSFETs

High Mobility Materials and Novel Device Structures for High Performance Nanoscale MOSFETs High Mobility Materials and Novel Device Structures for High Performance Nanoscale MOSFETs Prof. (Dr.) Tejas Krishnamohan Department of Electrical Engineering Stanford University, CA & Intel Corporation

More information

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance 1 Seminar Spacer Lithography for Reduced Variability in MOSFET Performance Prof. Tsu-Jae King Liu Electrical Engineering & Computer Sciences Dept. University of California at Berkeley Graduate Student:

More information

Section 12: Intro to Devices

Section 12: Intro to Devices Section 12: Intro to Devices Extensive reading materials on reserve, including Robert F. Pierret, Semiconductor Device Fundamentals EE143 Ali Javey Bond Model of Electrons and Holes Si Si Si Si Si Si Si

More information

ELEN0037 Microelectronic IC Design. Prof. Dr. Michael Kraft

ELEN0037 Microelectronic IC Design. Prof. Dr. Michael Kraft ELEN0037 Microelectronic IC Design Prof. Dr. Michael Kraft Lecture 2: Technological Aspects Technology Passive components Active components CMOS Process Basic Layout Scaling CMOS Technology Integrated

More information

The Future of CMOS. David Pulfrey. CHRONOLOGY of the FET. Lecture Lilienfeld s patent (BG FET) 1965 Commercialization (Fairchild)

The Future of CMOS. David Pulfrey. CHRONOLOGY of the FET. Lecture Lilienfeld s patent (BG FET) 1965 Commercialization (Fairchild) The Future of CMOS David Pulfrey 1 CHRONOLOGY of the FET 1933 Lilienfeld s patent (BG FET) 1965 Commercialization (Fairchild) 1991 The most abundant object made by mankind (C.T. Sah) 2003 The 10 nm FET

More information

MOSFET: Introduction

MOSFET: Introduction E&CE 437 Integrated VLSI Systems MOS Transistor 1 of 30 MOSFET: Introduction Metal oxide semiconductor field effect transistor (MOSFET) or MOS is widely used for implementing digital designs Its major

More information

MOS Transistor I-V Characteristics and Parasitics

MOS Transistor I-V Characteristics and Parasitics ECEN454 Digital Integrated Circuit Design MOS Transistor I-V Characteristics and Parasitics ECEN 454 Facts about Transistors So far, we have treated transistors as ideal switches An ON transistor passes

More information

ECE520 VLSI Design. Lecture 8: Interconnect Manufacturing and Modeling. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 8: Interconnect Manufacturing and Modeling. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 8: Interconnect Manufacturing and Modeling Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review

More information

CMOS Transistors, Gates, and Wires

CMOS Transistors, Gates, and Wires CMOS Transistors, Gates, and Wires Should the hardware abstraction layers make today s lecture irrelevant? pplication R P C W / R W C W / 6.375 Complex Digital Systems Christopher atten February 5, 006

More information

Enhanced Mobility CMOS

Enhanced Mobility CMOS Enhanced Mobility CMOS Judy L. Hoyt I. Åberg, C. Ni Chléirigh, O. Olubuyide, J. Jung, S. Yu, E.A. Fitzgerald, and D.A. Antoniadis Microsystems Technology Laboratory MIT, Cambridge, MA 02139 Acknowledge

More information

There's Plenty of Room at the Bottom

There's Plenty of Room at the Bottom There's Plenty of Room at the Bottom 12/29/1959 Feynman asked why not put the entire Encyclopedia Britannica (24 volumes) on a pin head (requires atomic scale recording). He proposed to use electron microscope

More information

Interconnects. Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering Repeaters. ECE 261 James Morizio 1

Interconnects. Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering Repeaters. ECE 261 James Morizio 1 Interconnects Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering Repeaters ECE 261 James Morizio 1 Introduction Chips are mostly made of wires called interconnect In stick diagram,

More information

Section 12: Intro to Devices

Section 12: Intro to Devices Section 12: Intro to Devices Extensive reading materials on reserve, including Robert F. Pierret, Semiconductor Device Fundamentals Bond Model of Electrons and Holes Si Si Si Si Si Si Si Si Si Silicon

More information

Simple and accurate modeling of the 3D structural variations in FinFETs

Simple and accurate modeling of the 3D structural variations in FinFETs Simple and accurate modeling of the 3D structural variations in FinFETs Donghu Kim Electrical Engineering Program Graduate school of UNIST 2013 Simple and accurate modeling of the 3D structural variations

More information

Scaling Issues in Planar FET: Dual Gate FET and FinFETs

Scaling Issues in Planar FET: Dual Gate FET and FinFETs Scaling Issues in Planar FET: Dual Gate FET and FinFETs Lecture 12 Dr. Amr Bayoumi Fall 2014 Advanced Devices (EC760) Arab Academy for Science and Technology - Cairo 1 Outline Scaling Issues for Planar

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

InGaAs Double-Gate Fin-Sidewall MOSFET

InGaAs Double-Gate Fin-Sidewall MOSFET InGaAs Double-Gate Fin-Sidewall MOSFET Alon Vardi, Xin Zhao and Jesús del Alamo Microsystems Technology Laboratories, MIT June 25, 214 Sponsors: Sematech, Technion-MIT Fellowship, and NSF E3S Center (#939514)

More information

! Previously: simple models (0 and 1 st order) " Comfortable with basic functions and circuits. ! This week and next (4 lectures)

! Previously: simple models (0 and 1 st order)  Comfortable with basic functions and circuits. ! This week and next (4 lectures) ESE370: CircuitLevel Modeling, Design, and Optimization for Digital Systems Lec 6: September 18, 2017 MOS Model You are Here: Transistor Edition! Previously: simple models (0 and 1 st order) " Comfortable

More information

Alternative deposition solution for cost reduction of TSV integration

Alternative deposition solution for cost reduction of TSV integration Alternative deposition solution for cost reduction of TSV integration J. Vitiello, F. Piallat, L. Bonnet KOBUS 611 rue Aristide Bergès, Z.A. de Pré Millet, Montbonnot-Saint-Martin, 38330 France Ph: +33

More information

Film Deposition Part 1

Film Deposition Part 1 1 Film Deposition Part 1 Chapter 11 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2013 Saroj Kumar Patra Semidonductor Manufacturing Technology, Norwegian University of

More information

Performance Enhancement of P-channel InGaAs Quantum-well FETs by Superposition of Process-induced Uniaxial Strain and Epitaxially-grown Biaxial Strain

Performance Enhancement of P-channel InGaAs Quantum-well FETs by Superposition of Process-induced Uniaxial Strain and Epitaxially-grown Biaxial Strain Performance Enhancement of P-channel InGaAs Quantum-well FETs by Superposition of Process-induced Uniaxial Strain and Epitaxially-grown Biaxial Strain Ling Xia 1, Vadim Tokranov 2, Serge R. Oktyabrsky

More information

This is the author s final accepted version.

This is the author s final accepted version. Al-Ameri, T., Georgiev, V.P., Adamu-Lema, F. and Asenov, A. (2017) Does a Nanowire Transistor Follow the Golden Ratio? A 2D Poisson- Schrödinger/3D Monte Carlo Simulation Study. In: 2017 International

More information

Nanocarbon Interconnects - From 1D to 3D

Nanocarbon Interconnects - From 1D to 3D Nanocarbon Interconnects - From 1D to 3D Cary Y. Yang Santa Clara University Outline Introduction CNT as 1D interconnect structure CNT-graphene as all-carbon 3D interconnect Summary Device Scaling driven

More information

Spin Lifetime Enhancement by Shear Strain in Thin Silicon-on-Insulator Films. Dmitry Osintsev, Viktor Sverdlov, and Siegfried Selberherr

Spin Lifetime Enhancement by Shear Strain in Thin Silicon-on-Insulator Films. Dmitry Osintsev, Viktor Sverdlov, and Siegfried Selberherr 10.1149/05305.0203ecst The Electrochemical Society Spin Lifetime Enhancement by Shear Strain in Thin Silicon-on-Insulator Films Dmitry Osintsev, Viktor Sverdlov, and Siegfried Selberherr Institute for

More information

Taurus-Topography. Topography Modeling for IC Technology

Taurus-Topography. Topography Modeling for IC Technology SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD Aurora DFM WorkBench Davinci Medici Raphael Raphael-NES Silicon Early Access TSUPREM-4 Taurus-Device Taurus-Lithography

More information

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Lec 6: September 14, 2015 MOS Model You are Here: Transistor Edition! Previously: simple models (0 and 1 st order) " Comfortable

More information

Thin Film Transistors (TFT)

Thin Film Transistors (TFT) Thin Film Transistors (TFT) a-si TFT - α-si:h (Hydrogenated amorphous Si) deposited with a PECVD system (low temp. process) replaces the single crystal Si substrate. - Inverted staggered structure with

More information

Topics to be Covered. capacitance inductance transmission lines

Topics to be Covered. capacitance inductance transmission lines Topics to be Covered Circuit Elements Switching Characteristics Power Dissipation Conductor Sizes Charge Sharing Design Margins Yield resistance capacitance inductance transmission lines Resistance of

More information

MOS Transistor Theory

MOS Transistor Theory CHAPTER 3 MOS Transistor Theory Outline 2 1. Introduction 2. Ideal I-V Characteristics 3. Nonideal I-V Effects 4. C-V Characteristics 5. DC Transfer Characteristics 6. Switch-level RC Delay Models MOS

More information

Future trends in radiation hard electronics

Future trends in radiation hard electronics Future trends in radiation hard electronics F. Faccio CERN, Geneva, Switzerland Outline Radiation effects in CMOS technologies Deep submicron CMOS for radiation environments What is the future going to

More information

MaxCaps Next Generation Dielectrics for Integrated Capacitors

MaxCaps Next Generation Dielectrics for Integrated Capacitors MaxCaps Next Generation Dielectrics for Integrated Capacitors Guenther Ruhl Infineon Technologies AG Σ! 2365 Semicon Europa 2011 Dresden, October 11, 2011 October 11, 2011 1 Outline Introduction MaxCaps

More information

VLSI Design The MOS Transistor

VLSI Design The MOS Transistor VLSI Design The MOS Transistor Frank Sill Torres Universidade Federal de Minas Gerais (UFMG), Brazil VLSI Design: CMOS Technology 1 Outline Introduction MOS Capacitor nmos I-V Characteristics pmos I-V

More information

Chapter 12: Electrical Properties. RA l

Chapter 12: Electrical Properties. RA l Charge carriers and conduction: Chapter 12: Electrical Properties Charge carriers include all species capable of transporting electrical charge, including electrons, ions, and electron holes. The latter

More information

Effective Capacitance Enhancement Methods for 90-nm DRAM Capacitors

Effective Capacitance Enhancement Methods for 90-nm DRAM Capacitors Journal of the Korean Physical Society, Vol. 44, No. 1, January 2004, pp. 112 116 Effective Capacitance Enhancement Methods for 90-nm DRAM Capacitors Y. K. Park, Y. S. Ahn, S. B. Kim, K. H. Lee, C. H.

More information

Study of Carrier Transport in Strained and Unstrained SOI Tri-gate and Omega-gate Si Nanowire MOSFETs

Study of Carrier Transport in Strained and Unstrained SOI Tri-gate and Omega-gate Si Nanowire MOSFETs 42nd ESSDERC, Bordeaux, France, 17-21 Sept. 2012 A2L-E, High Mobility Devices, 18 Sept. Study of Carrier Transport in Strained and Unstrained SOI Tri-gate and Omega-gate Si Nanowire MOSFETs M. Koyama 1,4,

More information

nmos IC Design Report Module: EEE 112

nmos IC Design Report Module: EEE 112 nmos IC Design Report Author: 1302509 Zhao Ruimin Module: EEE 112 Lecturer: Date: Dr.Zhao Ce Zhou June/5/2015 Abstract This lab intended to train the experimental skills of the layout designing of the

More information

and V DS V GS V T (the saturation region) I DS = k 2 (V GS V T )2 (1+ V DS )

and V DS V GS V T (the saturation region) I DS = k 2 (V GS V T )2 (1+ V DS ) ECE 4420 Spring 2005 Page 1 FINAL EXAMINATION NAME SCORE /100 Problem 1O 2 3 4 5 6 7 Sum Points INSTRUCTIONS: This exam is closed book. You are permitted four sheets of notes (three of which are your sheets

More information

Homework 2 due on Wednesday Quiz #2 on Wednesday Midterm project report due next Week (4 pages)

Homework 2 due on Wednesday Quiz #2 on Wednesday Midterm project report due next Week (4 pages) EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 12: SRAM Design ECC Timing Announcements Homework 2 due on Wednesday Quiz #2 on Wednesday Midterm project report due next Week (4 pages)

More information

Perpendicular MTJ stack development for STT MRAM on Endura PVD platform

Perpendicular MTJ stack development for STT MRAM on Endura PVD platform Perpendicular MTJ stack development for STT MRAM on Endura PVD platform Mahendra Pakala, Silicon Systems Group, AMAT Dec 16 th, 2014 AVS 2014 *All data in presentation is internal Applied generated data

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 12: Mechanics

More information

Recent Development of FinFET Technology for CMOS Logic and Memory

Recent Development of FinFET Technology for CMOS Logic and Memory Recent Development of FinFET Technology for CMOS Logic and Memory Chung-Hsun Lin EECS Department University of California at Berkeley Why FinFET Outline FinFET process Unique features of FinFET Mobility,

More information

Lecture 5: CMOS Transistor Theory

Lecture 5: CMOS Transistor Theory Lecture 5: CMOS Transistor Theory Slides courtesy of Deming Chen Slides based on the initial set from David Harris CMOS VLSI Design Outline q q q q q q q Introduction MOS Capacitor nmos I-V Characteristics

More information

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey.

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE 143 Professor Ali Javey Spring 2009 Exam 2 Name: SID: Closed book. One sheet of notes is allowed.

More information

Scaling of Interconnections

Scaling of Interconnections EE 311 Notes/Prof Saraswat of ions of Minimum Feature size and Chip Area 10 10 4 1 pr oduction 10 3 logic 0.1 de velopment 10 2 memor y 0.01 10 1 1970 1980 1990 2000 2010 1970 1980 1990 2000 2010 Year

More information

Lecture 4: CMOS Transistor Theory

Lecture 4: CMOS Transistor Theory Introduction to CMOS VLSI Design Lecture 4: CMOS Transistor Theory David Harris, Harvey Mudd College Kartik Mohanram and Steven Levitan University of Pittsburgh Outline q Introduction q MOS Capacitor q

More information

Lecture 3: CMOS Transistor Theory

Lecture 3: CMOS Transistor Theory Lecture 3: CMOS Transistor Theory Outline Introduction MOS Capacitor nmos I-V Characteristics pmos I-V Characteristics Gate and Diffusion Capacitance 2 Introduction So far, we have treated transistors

More information

Prospects for Ge MOSFETs

Prospects for Ge MOSFETs Prospects for Ge MOSFETs Sematech Workshop December 4, 2005 Dimitri A. Antoniadis Microsystems Technology Laboratories MIT Sematech Workshop 2005 1 Channel Transport - I D I D =WQ i (x 0 )v xo v xo : carrier

More information

Advantages / Disadvantages of semiconductor detectors

Advantages / Disadvantages of semiconductor detectors Advantages / Disadvantages of semiconductor detectors Semiconductor detectors have a high density (compared to gas detector) large energy loss in a short distance diffusion effect is smaller than in gas

More information

MSE 310/ECE 340: Electrical Properties of Materials Fall 2014 Department of Materials Science and Engineering Boise State University

MSE 310/ECE 340: Electrical Properties of Materials Fall 2014 Department of Materials Science and Engineering Boise State University MSE 310/ECE 340: Electrical Properties of Materials Fall 2014 Department of Materials Science and Engineering Boise State University Practice Final Exam 1 Read the questions carefully Label all figures

More information

Part 5: Quantum Effects in MOS Devices

Part 5: Quantum Effects in MOS Devices Quantum Effects Lead to Phenomena such as: Ultra Thin Oxides Observe: High Leakage Currents Through the Oxide - Tunneling Depletion in Poly-Si metal gate capacitance effect Thickness of Inversion Layer

More information

Interconnects. Introduction

Interconnects. Introduction Interconnects Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering Repeaters ECE 261 Krish Chakrabarty 1 Introduction Chips are mostly made of ires called interconnect In stick diagram,

More information

Ultimately Scaled CMOS: DG FinFETs?

Ultimately Scaled CMOS: DG FinFETs? Ultimately Scaled CMOS: DG FinFETs? Jerry G. Fossum SOI Group Department of Electrical and Computer Engineering University of Florida Gainesville, FL 32611-6130 J. G. Fossum / 1 Outline Introduction -

More information

CMOS Devices. PN junctions and diodes NMOS and PMOS transistors Resistors Capacitors Inductors Bipolar transistors

CMOS Devices. PN junctions and diodes NMOS and PMOS transistors Resistors Capacitors Inductors Bipolar transistors CMOS Devices PN junctions and diodes NMOS and PMOS transistors Resistors Capacitors Inductors Bipolar transistors PN Junctions Diffusion causes depletion region D.R. is insulator and establishes barrier

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective Semiconductor Memories Adapted from Chapter 12 of Digital Integrated Circuits A Design Perspective Jan M. Rabaey et al. Copyright 2003 Prentice Hall/Pearson Outline Memory Classification Memory Architectures

More information

Self-Aligned InGaAs FinFETs with 5-nm Fin-Width and 5-nm Gate-Contact Separation

Self-Aligned InGaAs FinFETs with 5-nm Fin-Width and 5-nm Gate-Contact Separation Self-Aligned InGaAs FinFETs with 5-nm Fin-Width and 5-nm Gate-Contact Separation Alon Vardi, Lisa Kong, Wenjie Lu, Xiaowei Cai, Xin Zhao, Jesús Grajal* and Jesús A. del Alamo Microsystems Technology Laboratories,

More information

Analytical Modeling of Threshold Voltage for a. Biaxial Strained-Si-MOSFET

Analytical Modeling of Threshold Voltage for a. Biaxial Strained-Si-MOSFET Contemporary Engineering Sciences, Vol. 4, 2011, no. 6, 249 258 Analytical Modeling of Threshold Voltage for a Biaxial Strained-Si-MOSFET Amit Chaudhry Faculty of University Institute of Engineering and

More information

Lecture contents. Stress and strain Deformation potential. NNSE 618 Lecture #23

Lecture contents. Stress and strain Deformation potential. NNSE 618 Lecture #23 1 Lecture contents Stress and strain Deformation potential Few concepts from linear elasticity theory : Stress and Strain 6 independent components 2 Stress = force/area ( 3x3 symmetric tensor! ) ij ji

More information

Regents of the University of California

Regents of the University of California Deep Reactive-Ion Etching (DRIE) DRIE Issues: Etch Rate Variance The Bosch process: Inductively-coupled plasma Etch Rate: 1.5-4 μm/min Two main cycles in the etch: Etch cycle (5-15 s): SF 6 (SF x+ ) etches

More information

ALD high-k and higher-k integration on GaAs

ALD high-k and higher-k integration on GaAs ALD high-k and higher-k integration on GaAs Ozhan Koybasi 1), Min Xu 1), Yiqun Liu 2), Jun-Jieh Wang 2), Roy G. Gordon 2), and Peide D. Ye 1)* 1) School of Electrical and Computer Engineering, Purdue University,

More information

Carbon Nanotubes in Interconnect Applications

Carbon Nanotubes in Interconnect Applications Carbon Nanotubes in Interconnect Applications Page 1 What are Carbon Nanotubes? What are they good for? Why are we interested in them? - Interconnects of the future? Comparison of electrical properties

More information

CMOS Scaling. Two motivations to scale down. Faster transistors, both digital and analog. To pack more functionality per area. Lower the cost!

CMOS Scaling. Two motivations to scale down. Faster transistors, both digital and analog. To pack more functionality per area. Lower the cost! Two motivations to scale down CMOS Scaling Faster transistors, both digital and analog To pack more functionality per area. Lower the cost! (which makes (some) physical sense) Scale all dimensions and

More information

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics Lecture 23 Dealing with Interconnect Impact of Interconnect Parasitics Reduce Reliability Affect Performance Classes of Parasitics Capacitive Resistive Inductive 1 INTERCONNECT Dealing with Capacitance

More information

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies Hans Tuinhout, The Netherlands motivation: from deep submicron digital ULSI parametric spread

More information

! Previously: simple models (0 and 1 st order) " Comfortable with basic functions and circuits. ! This week and next (4 lectures)

! Previously: simple models (0 and 1 st order)  Comfortable with basic functions and circuits. ! This week and next (4 lectures) ESE370: CircuitLevel Modeling, Design, and Optimization for Digital Systems Lec 6: September 14, 2015 MOS Model You are Here: Transistor Edition! Previously: simple models (0 and 1 st order) " Comfortable

More information

Modelling of Diamond Devices with TCAD Tools

Modelling of Diamond Devices with TCAD Tools RADFAC Day - 26 March 2015 Modelling of Diamond Devices with TCAD Tools A. Morozzi (1,2), D. Passeri (1,2), L. Servoli (2), K. Kanxheri (2), S. Lagomarsino (3), S. Sciortino (3) (1) Engineering Department

More information

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 Bob O'Handley Martin Schmidt Quiz Nov. 17, 2004 Ion implantation, diffusion [15] 1. a) Two identical p-type Si wafers (N a = 10 17 cm

More information

SPCC Department of Bio-Nano Technology and 2 Materials Science and Chemical Engineering, Hanyang University, Ansan, 15588, Republic of Korea.

SPCC Department of Bio-Nano Technology and 2 Materials Science and Chemical Engineering, Hanyang University, Ansan, 15588, Republic of Korea. SPCC 2018 Hanyang University NEMPL Jin-Goo Park 1,2 *, Jung-Hwan Lee a, In-chan Choi 1, Hyun-Tae Kim 1, Lieve Teugels 3, and Tae-Gon Kim 3 1 Department of Bio-Nano Technology and 2 Materials Science and

More information

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen Lecture 150 Basic IC Processes (10/10/01) Page 1501 LECTURE 150 BASIC IC PROCESSES (READING: TextSec. 2.2) INTRODUCTION Objective The objective of this presentation is: 1.) Introduce the fabrication of

More information

Emerging Interconnect Technologies for CMOS and beyond-cmos Circuits

Emerging Interconnect Technologies for CMOS and beyond-cmos Circuits Emerging Interconnect Technologies for CMOS and beyond-cmos Circuits Sou-Chi Chang, Rouhollah M. Iraei Vachan Kumar, Ahmet Ceyhan and Azad Naeemi School of Electrical & Computer Engineering Georgia Institute

More information

EE141- Fall 2002 Lecture 27. Memory EE141. Announcements. We finished all the labs No homework this week Projects are due next Tuesday 9am EE141

EE141- Fall 2002 Lecture 27. Memory EE141. Announcements. We finished all the labs No homework this week Projects are due next Tuesday 9am EE141 - Fall 2002 Lecture 27 Memory Announcements We finished all the labs No homework this week Projects are due next Tuesday 9am 1 Today s Lecture Memory:» SRAM» DRAM» Flash Memory 2 Floating-gate transistor

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

EE 434 Lecture 12. Process Flow (wrap up) Device Modeling in Semiconductor Processes

EE 434 Lecture 12. Process Flow (wrap up) Device Modeling in Semiconductor Processes EE 434 Lecture 12 Process Flow (wrap up) Device Modeling in Semiconductor Processes Quiz 6 How have process engineers configured a process to assure that the thickness of the gate oxide for the p-channel

More information

EE382M-14 CMOS Analog Integrated Circuit Design

EE382M-14 CMOS Analog Integrated Circuit Design EE382M-14 CMOS Analog Integrated Circuit Design Lecture 3, MOS Capacitances, Passive Components, and Layout of Analog Integrated Circuits MOS Capacitances Type of MOS transistor capacitors Depletion capacitance

More information