Effective Capacitance Enhancement Methods for 90-nm DRAM Capacitors

Size: px
Start display at page:

Download "Effective Capacitance Enhancement Methods for 90-nm DRAM Capacitors"

Transcription

1 Journal of the Korean Physical Society, Vol. 44, No. 1, January 2004, pp Effective Capacitance Enhancement Methods for 90-nm DRAM Capacitors Y. K. Park, Y. S. Ahn, S. B. Kim, K. H. Lee, C. H. Cho, T. Y. Chung and Kinam Kim Advanced Technology Development, Semiconductor R&D Center, Samsung Electronics Co., Ltd., Yongin (Received 7 August 2003) For the first time, we successfully demonstrated metal-insulator-semiconductor (MIS) capacitor process integration for 90-nm dynamic random-access memory (DRAM) technology. The robust stack storage node and sufficient cell capacitance for high performance is indispensable for 90-nm DRAM capacitors. In this paper, a novel cell layout and integration technology for 90-nm DRAM capacitors, which can be extended to the next generation DRAM, are proposed and developed for the first time. A diamond-shaped one cylindrical storage node (OCS) with a 1.8-µm stack height is newly developed for a large capacitor area with better stability. Furthermore, a novel Al 2O 3/HfO 2 dielectric material with an equivalent oxide thickness (EOT) of 25 Å is adopted for obtaining sufficient cell capacitance. A reliable cell capacitance and a low leakage current of 26 ff per cell and < 1 fa per cell, respectively, were obtained for a MIS capacitor by using a Al 2O 3/HfO 2 dielectric material. PACS numbers: De Keywords: Capacitor, Diamond-typed OCS, AlO/HfO, DRAM, DMO(Dual Mold Oxide) I. INTRODUCTION As high density and high performance are required for a DRAM (dynamic random access memory) with a COB (capacitor over bit line) structure, cell capacitor technology needs to be developed to provide the required performance [1, 2]. As the minimum feature size of a DRAM decreases, it becomes more difficult to obtain sufficient capacitance for a DRAM cell capacitor. Recently, DRAMs with various cell capacitor structures, which apply to 130-nm and 110-nm DRAM technology, were announced [3 6]. However, in future generations of DRAMs manufactured with sub-0.1-µm technology, difficulties are expected in realizing a sufficient cell capacitance and structural stability because of the remarkable decrease in the cell dimension. In a 90-nm DRAM capacitor, maximization of the cell area and a high-k dielectric material are inevitable for obtaining sufficient cell capacitance. As shown in Fig. 1, a stack height of 1.8 µm and an equivalent oxide thickness (EOT) of 25 Å are inevitable to get 25 ff per cell in the 90-nm design rule. A higher storage node height causes instability of the storage node structure, which results in device failure due to twin-bit or multi-bit failure during DRAM operation [7]. Figure 2 shows the storage node bridge phenomenon due to the instability of the storage node structure. Therefore, the mechanical stability of the storage yk.park@samsung.com; Tel: ; Fax: Fig. 1. Sufficient conditions for obtaining a 25 ff cell capacitance with respect to the design rule. Fig. 2. Storage node bridge phenomenon due to the instability of the storage node structure. node structure is a key factor in increasing the cell capacitance. As reported earlier [6], the MIS Al 2 O 3 capacitor has -112-

2 Effective Capacitance Enhancement Methods for 90-nm DRAM Capacitors Y. K. Park et al Fig. 3. Vertical structure of a fully integrated 512-Mb DRAM using 90-nm process technology. been considered as a promising candidate for future capacitors. However, the EOT of an Al 2 O 3 capacitor for a higher-density DRAM device is limited to an EOT of 33 Å. Therefore, the high-k dielectric material should be developed for next generation DRAMs. In this paper, a novel diamond-shaped cell layout and a landing pad formation technology are proposed to overcome the decrease in the cell capacitance and the mechanical instability, which are inevitable in smallfeature-sized DRAM cells under 90 nm. At the same time, a novel ALD (atomic layer deposition) Al 2 O 3 /HfO 2 double-layer dielectric film with an EOT of 25 Å is introduced for obtaining sufficient cell capacitance for a DRAM cell. Fig. 4. Schematic diagrams of the cell layouts for (a) a conventional OCS and (b) a diamond-shaped OCS. by wet etching lift-off process. Prior to film deposition on a storage node with a cylinder structure, the phosphorus-doped poly-si substrate was nitridated by using rapid thermal nitridation to prevent the oxygen penetration caused by the oxidant during the deposition process. The Al 2 O 3 and the HfO 2 were deposited at 350 C by using an ALD method. The reactant gases were TMA [Al(CH 3 ) 3 ], Hf(OtBu) 4, and O 3 for the oxidant. After the HfO 2 film formation, posttreatment in an oxygen atmosphere was carried out to eliminate the contamination and to cure oxygen defects. As a top electrode, TiN/poly-Si was deposited and activated as a plate. II. EXPERIMENT Figure 3 shows the cross-sectional SEM images of a fully integrated 512-Mb DRAM cell array and its core interface area with 90-nm process technology. First, planar-type cell transistors are formed, which is followed by S/D (source/drain) contact formation by using SAC (self aligned contact) process. After the patterning of BLs (bit lines), storage node (SN) contacts are also formed by using the SAC process [4]. To get a robust capacitor structure for 90-nm DRAM technology, we formed a newly proposed landing pad and diamond-type OCS (one-cylinder-storage-node) type cell capacitor structure to obtain sufficient cell capacitance and mechanical stability. With ArF photolithography, the critical patterns for the landing pad were sharply defined with above 0.3 µm DOF margin. Double oxide layers, such as BPSG (boron phosphorous silicate glass) and PETEOS (plasma enhanced tetra-ethyl-orthosilicate), with different wet etching rates were deposited on the Si 3 N 4 layer that served as the etch stopping layer during the storage node etch process. The double oxide layers were etched by anisotropic etching. The bottom area of the storage node was enlarged due to a different wet etch rate being used during the pre-cleaning process. A 400-Å-thick poly-si was deposited to form the OCS capacitor. The sacrificial oxide, double oxide, is removed III. RESULTS AND DISCUSSION Figure 4 shows a schematic diagram of the newly proposed diamond-shaped SN and landing pad, which enhances the stability of a OCS-type capacitor for a DRAM cell. To maximize the cell area and to enhance the mechanical stability of capacitor, we introduced a novel cell layout. With this layout, the cell area could be increased compared to the conventional cell layout. The cell capacitor structure was composed of a SN contact and a conventional oval-shaped OCS in the design rule over 100 nm. However, a conventional OCS has asymmetries in distance from the nearest OCS in the directions of the bit line and the word line and is mechanically less stable in a special direction. As the minimum feature size of a DRAM cell is decreased below 90 nm, the stability of this conventional OCS structure decreases drastically, which results in a twin-bit failure in the operation of DRAM. Moreover, if we solve the problem of mechanical instability, there are problems such as limited stack height. The overlap of the bottom SN limits the increase in the stack height for the case of a conventional OCS-type structure. In our calculation, the overlap occurred at a stack height of 1.45 µm, as shown in Fig. 5. To overcome the mechanical instability and overlap issue of a conventional OCS

3 -114- Journal of the Korean Physical Society, Vol. 44, No. 1, January 2004 Fig. 5. Overlap margin and limitation of the cell capacitance with the conventional OCS structure. Fig. 7. Cross-sectional SEM image of the landing pad and the bottom cell capacitor structure designed for a DRAM cell with 90-nm technology. Fig. 6. Top-view SEM images of (a) the landing pad and (b) OCS contact after the oxide inside the OCS had been etched out. capacitor, we proposed a diamond-shaped OCS, which needs a landing pad for the connection between the underlying SN contact and the OCS itself. Figure 6 shows top-view SEM images of the (a) landing pad and the (b) OCS contact after the oxide inside the OCS is etched out. With this diamond arrangement, we could eliminate the difficult patterning issue of the conventional layout of storage node, with a rectangular shape. As a result, we could continuously use KrF photolithography to pattern the storage node, even down to 90-nm technology. This structure enhances the process margin from the viewpoint of the structural stability of OCS polycrystalline silicon because the distances from one cylinder to the nearest cylinders are the same. Figure 7 shows a cross-sectional SEM image of the landing pad and bottom cell capacitor structure designed for a DRAM cell with 90-nm technology. The storage node capacitor has a diagonal arrangement whereas the landing pad has an orthogonal arrangement. A special poly pattern is used to connect the cell OCS to the landing pad. Figure 8 shows a cross-sectional SEM image of a robust OCS structure with a stack height of 1.8 µm. For achieving structural stability, we adopted a DMO (dual mold oxide) structure. The DMO structure utilizes the difference in the etch rates between two materials [4]. Fig. 8. Cross-sectional SEM image of a robust OCS structure with a stack height of 1.8 µm. The underlying high etch-rate oxide increases the bottom size of the cylinder, and the cylinder of the DMO structure becomes more stable than that of the SMO (single mold oxide) structure. In this paper, to overcome the extremely high aspect ratio, we changed the combination of BPSG and PETEOS films, and we used special treatments, such as chemical etching for the first and the second DMO materials. Figure 9 shows the twin-bit failure density per 512-Mb DRAM as a function of the capacitor height. The twinbit failure density is greatly increased when the height of stack capacitor is increased. To get reliable cell capacitance, a sufficient capacitor area must be achieved by increasing the stack height. Furthermore, the processinduced heat budget is critical for twin-bit failure. The OCS structure may become unstable as a result of the heat budget at the capacitor dielectric deposition, the pre-deposition treatment, and the post-deposition treatment processes due to the crystallization of SN polycrystalline silicon. By optimizing the deposition process of

4 Effective Capacitance Enhancement Methods for 90-nm DRAM Capacitors Y. K. Park et al Fig. 9. Twin-bit failure density per 512-Mb DRAM as a function of capacitor height. Fig. 12. Trend of the leakage current at 1.2 V of a MIS Al 2O 3/HfO 2 double-layer dielectric capacitor. Fig. 10. Cross-sectional TEM images of (a) an overall 1.8- µm SN in height with a 90-nm feature size and (b) a magnified top-side view of the SN. Fig. 13. Take-off Vp characteristics of an Al 2O 3/HfO 2 capacitor with respect to EOT scale down. Fig. 11. Capacitance distribution and leakage distribution with respect to an EOT scale down of a DRAM cell capacitor with a design rule of 90 nm. SN polycrystalline silicon and adopting a novel capacitor process composed of DMO and a novel diamond-shaped OCS, we could reduce the twin-bit failure density to 25 %, as shown in Fig. 9. Figure 10 shows cross-sectional TEM images of (a) an overall 1.8-µm SN in height with 90-nm feature size and (b) a magnified top-side view of the SN. The step coverage of an ALD Al 2 O 3 /HfO 2 double-layer dielectric with a 1.8-µm OCS height is over 90 %, which is applicable to a DRAM with a 90-nm technology node. The interfaces of Al 2 O 3 /HfO 2 and HfO 2 /TiN were found to be both smooth and sharp, which means that no interfacial reaction was observed. Figure 11(a) shows the capacitance distribution of a DRAM cell capacitor with a design rule of 90 nm. The cell capacitance increases from 23.5 ff to 26 ff per cell by using an optimized ALD Al 2 O 3 /HfO 2 double-layer dielectric film with an EOT of 25Å. Figure 11(b) shows the leakage distribution of a capacitor dielectric film with respect to EOT scale down. The leakage current is less than 1 fa per cell even in EOT 25 Å with a good distribution in the ALD Al 2 O 3 /HfO 2 films for an optimized capacitor process. Therefore, it is possible to reduce the EOT of the Al 2 O 3 /HfO 2 capacitor to around 25 Å while keeping a stable leakage current. Figure 12 shows the trend of the leakage current at 1.2 V for a MIS Al 2 O 3 /HfO 2 double-layer dielectric capacitor. It was possible to reduce the EOT of the

5 -116- Journal of the Korean Physical Society, Vol. 44, No. 1, January 2004 Al 2 O 3 /HfO 2 double-layer in the MIS capacitor to 25 Å with a sub-fa leakage level, which is applicable to a 90-nm design rule DRAM. Figure 13 shows the take-off Vp characteristics of an Al 2 O 3 /HfO 2 capacitor with a respect to EOT scale down. The device functionality can be confirmed by the Vp test for generating a solid 0 10-sec fail bit. The voltage for device operation is sufficient while this takeoff Vp is decreased by 0.2 V for an EOT of 25 Å compared with that for an EOT of 26 Å. IV. CONCLUSIONS In order to form a cell capacitor for a DRAM with a design rule of 90 nm, we proposed and realized diamondshaped OCS layout and landing pad scheme by usingprocesses compatible with previous technology. Moreover, an ALD Al 2 O 3 /HfO 2 double-layer dielectric film was adopted to increase the cell capacitance and to enhance the structural stability. As a result, a cell capacitance of 26 ff per cell, a leakage current less than 1 fa per cell, and a twin-bit failure density of 40 bit per 512-Mb DRAM chip were obtained. REFERENCES [1] Kinam Kim, Chang-Gyu Hwang and Jong-Gil Lee, IEEE Trans. Electron Dev. 45, 598 (1998). [2] Kinam Kim and Moon-Young Jeong, IEEE Trans. Semiconductor Manufacturing 15, 137 (2002). [3] K. N. Kim, H. S. Jeong, W. S. Yang, Y. S. Hwang, C. H. Cho, M. M. Jeong, S. Park, S. J. Ahn, Y. S. Chun, S. H. Shin, J. S. Park, S. H. Song, J. Y. Lee, S. M. Jang, C. H. Lee, J. H. Jeong, M. H. Cho, H. I. Yoon and J. S. Jeon, Symp. on VLSI Tech. (Kyoto, June, 2001), p. 7. [4] Jaegoo Lee, Changhyun Cho, Juyong Lee, Minsang Kim, Jaekyu Lee, Sooho Shin, Donghwa Kwak, Kwanhyeob Koh, Gitae Jeong, Hongsik Jeong, Taeyoung Chung and Kinam Kim, J. Korean Phys. Soc. 41, 487 (2002). [5] K. N. Kim, T. Y. Chung, H. S. Jeong, J. T. Moon, Y. W. Park, G. T. Jeong, K. H. Lee, G. H. Koh, D. W. Shin, Y. S. Hwang, D. W. Kwak, H. S. Uh, D. W. Ha, J. W. Lee, S. H. Shin, M. H. Lee, Y. S. Chun, J. K. Lee, B. J. Park, J. H. Oh, J. G. Lee and S. H. Lee, Symp. on VLSI Tech. (Honolulu, June, 2000), p. 10. [6] H. S. Jeong, W. S. Yang, Y. S. Hwang, C. H. Cho, S. Park, S. J. Ahn, Y. S. Chun, S. H. Shin, S. H. Song, J. Y. Lee, S. M. Jang, C. H. Lee, J. H. Jeong, M. H. Cho, J. K. Lee and Kinam Kim, IEDM Tech. Dig. (San Fransisco, Dec., 2000), p [7] Jeong-Hoon Oh, Hoon Jeong, J. M. Park, J. Y. Park, K. H. Hong, Y. J. Choi, K. H. Lee, T. Y. Chung, Y. J. Park and Kinam Kim, J. Korean Phys. Soc. 41, 884 (2002).

PROGRESS AND ISSUES IN DIELECTRIC MATERIALS FOR SUB-100NM DRAM TECHNOLOGY ABSTRACT

PROGRESS AND ISSUES IN DIELECTRIC MATERIALS FOR SUB-100NM DRAM TECHNOLOGY ABSTRACT PROGRESS AND ISSUES IN DIELECTRIC MATERIALS FOR SUB-100NM DRAM TECHNOLOGY Kanta Saino Device Integration Group, Technology & Development Office, Elpida Memory Inc. 7-10 Yoshikawakogyodanchi, Higashihiroshima,

More information

Quantum Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors

Quantum Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors Shih-Ching Lo 1, Yiming Li 2,3, and Jyun-Hwei Tsai 1 1 National Center for High-Performance

More information

Equipment Innovation Team, Memory Fab. Center, Samsung Electronics Co. Ltd. San#16, Banwol, Taean, Hwansung, Kyungki, , Republic of Korea

Equipment Innovation Team, Memory Fab. Center, Samsung Electronics Co. Ltd. San#16, Banwol, Taean, Hwansung, Kyungki, , Republic of Korea Solid State Phenomena Vols. 103-104 (2005) pp 63-66 Online available since 2005/Apr/01 at www.scientific.net (2005) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.103-104.63 Development

More information

Frequency dispersion effect and parameters. extraction method for novel HfO 2 as gate dielectric

Frequency dispersion effect and parameters. extraction method for novel HfO 2 as gate dielectric 048 SCIENCE CHINA Information Sciences April 2010 Vol. 53 No. 4: 878 884 doi: 10.1007/s11432-010-0079-8 Frequency dispersion effect and parameters extraction method for novel HfO 2 as gate dielectric LIU

More information

Stabilizing the forming process in unipolar resistance switching

Stabilizing the forming process in unipolar resistance switching Stabilizing the forming process in unipolar resistance switching using an improved compliance current limiter S. B. Lee, 1 S. H. Chang, 1 H. K. Yoo, 1 and B. S. Kang 2,a) 1 ReCFI, Department of Physics

More information

An interfacial investigation of high-dielectric constant material hafnium oxide on Si substrate B

An interfacial investigation of high-dielectric constant material hafnium oxide on Si substrate B Thin Solid Films 488 (2005) 167 172 www.elsevier.com/locate/tsf An interfacial investigation of high-dielectric constant material hafnium oxide on Si substrate B S.C. Chen a, T, J.C. Lou a, C.H. Chien

More information

(22) Filed: Feb. 22, 2000 Primary Examiner-Carl Whitehead, Jr. 30 F Application O O P tv Dat. ASSistant Examiner Toniae M. Thomas

(22) Filed: Feb. 22, 2000 Primary Examiner-Carl Whitehead, Jr. 30 F Application O O P tv Dat. ASSistant Examiner Toniae M. Thomas (12) United States Patent Hwang et al. USOO6479343B1 (10) Patent No.: (45) Date of Patent: Nov. 12, 2002 (54) DRAM CELL CAPACITOR AND MANUFACTURING METHOD THEREOF (75) Inventors: Yoo-Sang Hwang, Suwon;

More information

Thin Film Transistors (TFT)

Thin Film Transistors (TFT) Thin Film Transistors (TFT) a-si TFT - α-si:h (Hydrogenated amorphous Si) deposited with a PECVD system (low temp. process) replaces the single crystal Si substrate. - Inverted staggered structure with

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

Multiple Gate CMOS and Beyond

Multiple Gate CMOS and Beyond Multiple CMOS and Beyond Dept. of EECS, KAIST Yang-Kyu Choi Outline 1. Ultimate Scaling of MOSFETs - 3nm Nanowire FET - 8nm Non-Volatile Memory Device 2. Multiple Functions of MOSFETs 3. Summary 2 CMOS

More information

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF)

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Journal of the Korean Physical Society, Vol. 33, No. 5, November 1998, pp. 579 583 Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Baikil Choi and Hyeongtag Jeon School

More information

Perspectives on giga-bit scaled DRAM technology generation

Perspectives on giga-bit scaled DRAM technology generation Microelectronics Reliability 40 (2000) 191±206 Introductory invited paper Perspectives on giga-bit scaled DRAM technology generation Kinam Kim Technology Development, Memory Device Business, Samsung Electronics

More information

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications D. Tsoukalas, S. Kolliopoulou, P. Dimitrakis, P. Normand Institute of Microelectronics, NCSR Demokritos, Athens, Greece S. Paul,

More information

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room).

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). The Final Exam will take place from 12:30PM to 3:30PM on Saturday May 12 in 60 Evans.» All of

More information

Temperature Dependent Current-voltage Characteristics of P- type Crystalline Silicon Solar Cells Fabricated Using Screenprinting

Temperature Dependent Current-voltage Characteristics of P- type Crystalline Silicon Solar Cells Fabricated Using Screenprinting Temperature Dependent Current-voltage Characteristics of P- type Crystalline Silicon Solar Cells Fabricated Using Screenprinting Process Hyun-Jin Song, Won-Ki Lee, Chel-Jong Choi* School of Semiconductor

More information

Low Power Phase Change Memory via Block Copolymer Self-assembly Technology

Low Power Phase Change Memory via Block Copolymer Self-assembly Technology Low Power Phase Change Memory via Block Copolymer Self-assembly Technology Beom Ho Mun 1, Woon Ik Park 1, You Yin 2, Byoung Kuk You 1, Jae Jin Yun 1, Kung Ho Kim 1, Yeon Sik Jung 1*, and Keon Jae Lee 1*

More information

A Low-Noise Solid-State Nanopore Platform Based on a Highly Insulating Substrate

A Low-Noise Solid-State Nanopore Platform Based on a Highly Insulating Substrate SUPPORTING INFORMATION A Low-Noise Solid-State Nanopore Platform Based on a Highly Insulating Substrate Min-Hyun Lee, Ashvani Kumar, Kyeong-Beom Park, Seong-Yong Cho, Hyun-Mi Kim, Min-Cheol Lim, Young-Rok

More information

Low Frequency Noise in MoS 2 Negative Capacitance Field-effect Transistor

Low Frequency Noise in MoS 2 Negative Capacitance Field-effect Transistor Low Frequency Noise in MoS Negative Capacitance Field-effect Transistor Sami Alghamdi, Mengwei Si, Lingming Yang, and Peide D. Ye* School of Electrical and Computer Engineering Purdue University West Lafayette,

More information

Chapter 3 Basics Semiconductor Devices and Processing

Chapter 3 Basics Semiconductor Devices and Processing Chapter 3 Basics Semiconductor Devices and Processing Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives Identify at least two

More information

Film Deposition Part 1

Film Deposition Part 1 1 Film Deposition Part 1 Chapter 11 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2013 Saroj Kumar Patra Semidonductor Manufacturing Technology, Norwegian University of

More information

An Analytical Model for a Gate-Induced-Drain-Leakage Current in a Buried-Channel PMOSFET

An Analytical Model for a Gate-Induced-Drain-Leakage Current in a Buried-Channel PMOSFET Journal of the Korean Physical Society, Vol. 4, No. 5, November 00, pp. 86 867 An Analytical Model for a Gate-Induced-Drain-Leakage Current in a Buried-Channel PMOSFET Seong-Ho Kim, Sung-Eun Kim, Joo-Han

More information

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide ARTICLE IN PRESS Journal of Physics and Chemistry of Solids 69 (2008) 555 560 www.elsevier.com/locate/jpcs Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide Jun Wu a,, Ying-Lang

More information

Analytical Optimization of High Performance and High Quality Factor MEMS Spiral Inductor

Analytical Optimization of High Performance and High Quality Factor MEMS Spiral Inductor Progress In Electromagnetics Research M, Vol. 34, 171 179, 2014 Analytical Optimization of High Performance and High Quality Factor MEMS Spiral Inductor Parsa Pirouznia * and Bahram Azizollah Ganji Abstract

More information

Characterization of Charge Trapping and Dielectric Breakdown of HfAlOx/SiON Dielectric Gate Stack

Characterization of Charge Trapping and Dielectric Breakdown of HfAlOx/SiON Dielectric Gate Stack Characterization of Charge Trapping and Dielectric Breakdown of HfAlOx/SiON Dielectric Gate Stack Y. Pei, S. Nagamachi, H. Murakami, S. Higashi, S. Miyazaki, T. Kawahara and K. Torii Graduate School of

More information

The Pennsylvania State University. Kurt J. Lesker Company. North Carolina State University. Taiwan Semiconductor Manufacturing Company 1

The Pennsylvania State University. Kurt J. Lesker Company. North Carolina State University. Taiwan Semiconductor Manufacturing Company 1 Enhancement Mode Strained (1.3%) Germanium Quantum Well FinFET (W fin =20nm) with High Mobility (μ Hole =700 cm 2 /Vs), Low EOT (~0.7nm) on Bulk Silicon Substrate A. Agrawal 1, M. Barth 1, G. B. Rayner

More information

CURRICULUM VITAE HUAMIN LI UPDATED: DECEMBER 1, 2015 MAIN RESEARCH INTERESTS EDUCATION

CURRICULUM VITAE HUAMIN LI UPDATED: DECEMBER 1, 2015 MAIN RESEARCH INTERESTS EDUCATION CURRICULUM VITAE HUAMIN LI UPDATED: DECEMBER 1, 2015 Postdoctoral Research Associate Center for Low Energy Systems Technology (LEAST), Department of Electrical Engineering University of Notre Dame, B20

More information

Comparative studies of Ge and Si p-channel metal oxide semiconductor field-effect-transistors with HfSiON dielectric and TaN metal gate

Comparative studies of Ge and Si p-channel metal oxide semiconductor field-effect-transistors with HfSiON dielectric and TaN metal gate Comparative studies of Ge and Si p-channel metal oxide semiconductor field-effect-transistors with HfSiON dielectric and TaN metal gate Hu Ai-Bin( 胡爱斌 ) and Xu Qiu-Xia( 徐秋霞 ) Institute of Microelectronics,

More information

CONSTANT CURRENT STRESS OF ULTRATHIN GATE DIELECTRICS

CONSTANT CURRENT STRESS OF ULTRATHIN GATE DIELECTRICS CONSTANT CURRENT STRESS OF ULTRATHIN GATE DIELECTRICS Y. Sun School of Electrical & Electronic Engineering Nayang Technological University Nanyang Avenue, Singapore 639798 e-mail: 14794258@ntu.edu.sg Keywords:

More information

1. Chapter 1: Introduction

1. Chapter 1: Introduction 1. Chapter 1: Introduction Non-volatile memories with ferroelectric capacitor materials are also known as ferroelectric random access memories (FRAMs). Present research focuses on integration of ferroelectric

More information

Alternative deposition solution for cost reduction of TSV integration

Alternative deposition solution for cost reduction of TSV integration Alternative deposition solution for cost reduction of TSV integration J. Vitiello, F. Piallat, L. Bonnet KOBUS 611 rue Aristide Bergès, Z.A. de Pré Millet, Montbonnot-Saint-Martin, 38330 France Ph: +33

More information

Random Access Memory. DRAM & SRAM Design DRAM SRAM MS635. Dynamic Random Access Memory. Static Random Access Memory. Cell Structure. 6 Tr.

Random Access Memory. DRAM & SRAM Design DRAM SRAM MS635. Dynamic Random Access Memory. Static Random Access Memory. Cell Structure. 6 Tr. DRAM & SRAM Design Random Access Memory Volatile memory Random access is possible if you know the address DRAM DRAM Dynamic Random Access Memory SRAM Static Random Access Memory SRAM Cell Structure Power

More information

GaAs and InGaAs Single Electron Hex. Title. Author(s) Kasai, Seiya; Hasegawa, Hideki. Citation 13(2-4): Issue Date DOI

GaAs and InGaAs Single Electron Hex. Title. Author(s) Kasai, Seiya; Hasegawa, Hideki. Citation 13(2-4): Issue Date DOI Title GaAs and InGaAs Single Electron Hex Circuits Based on Binary Decision D Author(s) Kasai, Seiya; Hasegawa, Hideki Citation Physica E: Low-dimensional Systems 3(2-4): 925-929 Issue Date 2002-03 DOI

More information

CS 152 Computer Architecture and Engineering

CS 152 Computer Architecture and Engineering CS 152 Computer Architecture and Engineering Lecture 12 VLSI II 2005-2-24 John Lazzaro (www.cs.berkeley.edu/~lazzaro) TAs: Ted Hong and David Marquardt www-inst.eecs.berkeley.edu/~cs152/ Last Time: Device

More information

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device Hyung-Seop Kim, Yong Min Cho, Byoung-Ho Lee Semiconductor R&D Center, Device Solution Business, Samsung

More information

Control of Flat Band Voltage by Partial Incorporation of La 2 O 3 or Sc 2 O 3 into HfO 2 in Metal/HfO 2 /SiO 2 /Si MOS Capacitors

Control of Flat Band Voltage by Partial Incorporation of La 2 O 3 or Sc 2 O 3 into HfO 2 in Metal/HfO 2 /SiO 2 /Si MOS Capacitors Control of Flat Band Voltage by Partial Incorporation of La 2 O 3 or Sc 2 O 3 into HfO 2 in Metal/HfO 2 /SiO 2 /Si MOS Capacitors M. Adachi 1, K. Okamoto 1, K. Kakushima 2, P. Ahmet 1, K. Tsutsui 2, N.

More information

Electrostatic Bonding of Silicon-to-ITO coated #7059 Glass using Li-doped Oxide Interlayer

Electrostatic Bonding of Silicon-to-ITO coated #7059 Glass using Li-doped Oxide Interlayer Journal of the Korean Physical Society, Vol. 33, No., November 1998, pp. S406 S410 Electrostatic Bonding of Silicon-to-ITO coated #7059 Glass using Li-doped Oxide Interlayer Jee-Won Jeong, Byeong-Kwon

More information

Taurus-Topography. Topography Modeling for IC Technology

Taurus-Topography. Topography Modeling for IC Technology SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD Aurora DFM WorkBench Davinci Medici Raphael Raphael-NES Silicon Early Access TSUPREM-4 Taurus-Device Taurus-Lithography

More information

Agenda. 1. Atomic Layer Deposition Technology

Agenda. 1. Atomic Layer Deposition Technology Agenda 1. Atomic Layer Deposition Technology 2. What is ALD? Atomic Layer Deposition is invented in 1977 by T. Suntola et al. - New Deposition Method for Electro-Luminescent Display (ZnS:Mn Thin Films)

More information

Flexible nonvolatile polymer memory array on

Flexible nonvolatile polymer memory array on Supporting Information for Flexible nonvolatile polymer memory array on plastic substrate via initiated chemical vapor deposition Byung Chul Jang, #a Hyejeong Seong, #b Sung Kyu Kim, c Jong Yun Kim, a

More information

Technology Brief 9: Capacitive Sensors

Technology Brief 9: Capacitive Sensors 218 TEHNOLOGY BRIEF 9: APAITIVE SENSORS Technology Brief 9: apacitive Sensors To sense is to respond to a stimulus. (See Tech Brief 7 on resistive sensors.) A capacitor can function as a sensor if the

More information

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories Digital Integrated Circuits A Design Perspective Semiconductor Chapter Overview Memory Classification Memory Architectures The Memory Core Periphery Reliability Case Studies Semiconductor Memory Classification

More information

Semiconductor Memories

Semiconductor Memories Semiconductor References: Adapted from: Digital Integrated Circuits: A Design Perspective, J. Rabaey UCB Principles of CMOS VLSI Design: A Systems Perspective, 2nd Ed., N. H. E. Weste and K. Eshraghian

More information

FIB Voltage Contrast for Failure Localisation on CMOS Circuits an Overview

FIB Voltage Contrast for Failure Localisation on CMOS Circuits an Overview for Failure Localisation on CMOS Circuits an Overview 8th European FIB User Group Meeting Zürich 2004 Dr. rer. nat. Rüdiger Rosenkranz Infineon Technologies Dresden Physical Failure Analysis Page 1 Never

More information

Analysis and Measurement of Forces in an Electrowetting-Driven Oscillator

Analysis and Measurement of Forces in an Electrowetting-Driven Oscillator Mater. es. Soc. Symp. Proc. Vol. 15 8 Materials esearch Society 15-DD8-1 Analysis and Measurement of Forces in an Electrowetting-Driven Oscillator Nathan Brad Crane 1, Alex A Volinsky 1, Vivek amadoss

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

Regents of the University of California

Regents of the University of California Deep Reactive-Ion Etching (DRIE) DRIE Issues: Etch Rate Variance The Bosch process: Inductively-coupled plasma Etch Rate: 1.5-4 μm/min Two main cycles in the etch: Etch cycle (5-15 s): SF 6 (SF x+ ) etches

More information

TRANSPARENT oxide thin-film transistors (TFTs) are of

TRANSPARENT oxide thin-film transistors (TFTs) are of 112 IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 11, NO. 1, MARCH 2011 Analysis of Bias Stress Instability in Amorphous InGaZnO Thin-Film Transistors Edward Namkyu Cho, Student Member, IEEE,

More information

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials 1 Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials Thorsten Lill, Keren J. Kanarik, Samantha Tan, Meihua Shen, Alex Yoon, Eric Hudson, Yang Pan, Jeffrey Marks, Vahid Vahedi, Richard A. Gottscho

More information

MaxCaps Next Generation Dielectrics for Integrated Capacitors

MaxCaps Next Generation Dielectrics for Integrated Capacitors MaxCaps Next Generation Dielectrics for Integrated Capacitors Guenther Ruhl Infineon Technologies AG Σ! 2365 Semicon Europa 2011 Dresden, October 11, 2011 October 11, 2011 1 Outline Introduction MaxCaps

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 12: Mechanics

More information

Steep-slope WSe 2 Negative Capacitance Field-effect Transistor

Steep-slope WSe 2 Negative Capacitance Field-effect Transistor Supplementary Information for: Steep-slope WSe 2 Negative Capacitance Field-effect Transistor Mengwei Si, Chunsheng Jiang, Wonil Chung, Yuchen Du, Muhammad A. Alam, and Peide D. Ye School of Electrical

More information

Stretchable Graphene Transistors with Printed Dielectrics and Gate Electrodes

Stretchable Graphene Transistors with Printed Dielectrics and Gate Electrodes Stretchable Graphene Transistors with Printed Dielectrics and Gate Electrodes Seoung-Ki Lee, Beom Joon Kim, Houk Jang, Sung Cheol Yoon, Changjin Lee, Byung Hee Hong, John A. Rogers, Jeong Ho Cho, Jong-Hyun

More information

(12) United States Patent

(12) United States Patent USOO7777214B2 (12) United States Patent Shin et al. (10) Patent No.: (45) Date of Patent: US 7,777,214 B2 Aug. 17, 2010 (54) PHASE CHANGE MEMORY DEVICE WITH A NOVEL ELECTRODE (75) Inventors: Jae-Min Shin,

More information

Ambient-protecting organic light transducer grown on pentacenechannel of photo-gating complementary inverter

Ambient-protecting organic light transducer grown on pentacenechannel of photo-gating complementary inverter Electronic Supplementary information Ambient-protecting organic light transducer grown on pentacenechannel of photo-gating complementary inverter Hee Sung Lee, a Kwang H. Lee, a Chan Ho Park, b Pyo Jin

More information

Scaling behaviors of RESET voltages and currents in unipolar

Scaling behaviors of RESET voltages and currents in unipolar Scaling behaviors of RESET voltages and currents in unipolar resistance switching S. B. Lee, 1 S. C. Chae, 1 S. H. Chang, 1 J. S. Lee, 2 S. Seo, 3 B. Kahng, 2 and T. W. Noh 1,a) 1 ReCOE & FPRD, Department

More information

EE 5211 Analog Integrated Circuit Design. Hua Tang Fall 2012

EE 5211 Analog Integrated Circuit Design. Hua Tang Fall 2012 EE 5211 Analog Integrated Circuit Design Hua Tang Fall 2012 Today s topic: 1. Introduction to Analog IC 2. IC Manufacturing (Chapter 2) Introduction What is Integrated Circuit (IC) vs discrete circuits?

More information

Chapter 2. Design and Fabrication of VLSI Devices

Chapter 2. Design and Fabrication of VLSI Devices Chapter 2 Design and Fabrication of VLSI Devices Jason Cong 1 Design and Fabrication of VLSI Devices Objectives: To study the materials used in fabrication of VLSI devices. To study the structure of devices

More information

The Effect of Discharge Characteristics on Dielectric Barrier Discharges According to the Relative Permittivity

The Effect of Discharge Characteristics on Dielectric Barrier Discharges According to the Relative Permittivity , pp.21-27 http://dx.doi.org/10.14257/astl.2017.145.05 The Effect of Discharge Characteristics on Dielectric Barrier Discharges According to the Relative Permittivity Don-Kyu Lee Electrical Engineering,

More information

Modeling of the Substrate Current and Characterization of Traps in MOSFETs under Sub-Bandgap Photonic Excitation

Modeling of the Substrate Current and Characterization of Traps in MOSFETs under Sub-Bandgap Photonic Excitation Journal of the Korean Physical Society, Vol. 45, No. 5, November 2004, pp. 1283 1287 Modeling of the Substrate Current and Characterization of Traps in MOSFETs under Sub-Bandgap Photonic Excitation I.

More information

A Bottom-gate Depletion-mode Nanowire Field Effect Transistor (NWFET) Model Including a Schottky Diode Model

A Bottom-gate Depletion-mode Nanowire Field Effect Transistor (NWFET) Model Including a Schottky Diode Model Journal of the Korean Physical Society, Vol. 55, No. 3, September 2009, pp. 1162 1166 A Bottom-gate Depletion-mode Nanowire Field Effect Transistor (NWFET) Model Including a Schottky Diode Model Y. S.

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

Electrical Characterization with SPM Application Modules

Electrical Characterization with SPM Application Modules Electrical Characterization with SPM Application Modules Metrology, Characterization, Failure Analysis: Data Storage Magnetoresistive (MR) read-write heads Semiconductor Transistors Interconnect Ferroelectric

More information

June Key Lee. Department of Materials Science and Engineering, Chonnam National University, Gwangju (Received 26 August 2008)

June Key Lee. Department of Materials Science and Engineering, Chonnam National University, Gwangju (Received 26 August 2008) Journal of the Korean Physical Society, Vol. 55, No. 3, September 2009, pp. 1140 1144 Surface and Electrical Properties of Inductively-coupled Plasma-etched N-face n-gan and a Method of Reducing the Ohmic

More information

Investigation of Buffer Traps in AlGaN/GaN Heterostructure Field-Effect Transistors Using a Simple Test Structure

Investigation of Buffer Traps in AlGaN/GaN Heterostructure Field-Effect Transistors Using a Simple Test Structure http://dx.doi.org/10.5573/jsts.2014.14.4.478 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.4, AUGUST, 2014 Investigation of Buffer Traps in AlGaN/GaN Heterostructure Field-Effect Transistors

More information

RECENTLY, (Ba, Sr)TiO thin films have been intensively

RECENTLY, (Ba, Sr)TiO thin films have been intensively 342 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 46, NO. 2, FEBRUARY 1999 Impact of Time Dependent Dielectric Breakdown and Stress-Induced Leakage Current on the Reliability of High Dielectric Constant

More information

Electrostatics of Nanowire Transistors

Electrostatics of Nanowire Transistors Electrostatics of Nanowire Transistors Jing Guo, Jing Wang, Eric Polizzi, Supriyo Datta and Mark Lundstrom School of Electrical and Computer Engineering Purdue University, West Lafayette, IN, 47907 ABSTRACTS

More information

EE141- Fall 2002 Lecture 27. Memory EE141. Announcements. We finished all the labs No homework this week Projects are due next Tuesday 9am EE141

EE141- Fall 2002 Lecture 27. Memory EE141. Announcements. We finished all the labs No homework this week Projects are due next Tuesday 9am EE141 - Fall 2002 Lecture 27 Memory Announcements We finished all the labs No homework this week Projects are due next Tuesday 9am 1 Today s Lecture Memory:» SRAM» DRAM» Flash Memory 2 Floating-gate transistor

More information

Application of High-κ Gate Dielectrics and Metal Gate Electrodes to enable Silicon and Non-Silicon Logic Nanotechnology

Application of High-κ Gate Dielectrics and Metal Gate Electrodes to enable Silicon and Non-Silicon Logic Nanotechnology Application of High-κ Gate Dielectrics and Metal Gate Electrodes to enable Silicon and Non-Silicon Logic Nanotechnology Robert Chau, Justin Brask, Suman Datta, Gilbert Dewey, Mark Doczy, Brian Doyle, Jack

More information

Proceedings MEMS Inertial Switch for Military Applications

Proceedings MEMS Inertial Switch for Military Applications Proceedings MEMS Inertial Switch for Military Applications Hyo-Nam Lee 1, Seung-Gyo Jang 1, *, Sungryeol Lee 2, Jeong-Sun Lee 2 and Young-Suk Hwang 2 1 Agency for Defence Development, Daejeon, Korea; lhn4577@add.re.kr

More information

There s Plenty of Room at the Bottom and at the Top

There s Plenty of Room at the Bottom and at the Top 14 nm chip X SEM from www.intel.com/content/dam/www/public/us/en/documents/pdf/foundry/mark bohr 2014 idf presentation.pdf There s Plenty of Room at the Bottom and at the Top Tsu Jae King Liu Department

More information

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa Stretching the Barriers An analysis of MOSFET Scaling Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa Why Small? Higher Current Lower Gate Capacitance Higher

More information

ECE520 VLSI Design. Lecture 23: SRAM & DRAM Memories. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 23: SRAM & DRAM Memories. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 23: SRAM & DRAM Memories Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last Lecture

More information

Administrative Stuff

Administrative Stuff EE141- Spring 2004 Digital Integrated Circuits Lecture 30 PERSPECTIVES 1 Administrative Stuff Homework 10 posted just for practice. No need to turn in (hw 9 due today). Normal office hours next week. HKN

More information

Improvement of MgO Characteristics Using RF-Plasma Treatment in AC Plasma Display Panel

Improvement of MgO Characteristics Using RF-Plasma Treatment in AC Plasma Display Panel Mol. Cryst. Liq. Cryst., Vol. 531: pp. 73=[373] 81=[381], 2010 Copyright # Taylor & Francis Group, LLC ISSN: 1542-1406 print=1563-5287 online DOI: 10.1080/15421406.2010.499331 Improvement of MgO Characteristics

More information

Electrical and Reliability Characteristics of RRAM for Cross-point Memory Applications. Hyunsang Hwang

Electrical and Reliability Characteristics of RRAM for Cross-point Memory Applications. Hyunsang Hwang Electrical and Reliability Characteristics of RRAM for Cross-point Memory Applications Hyunsang Hwang Dept. of Materials Science and Engineering Gwangju Institute of Science and Technology (GIST), KOREA

More information

TRANSVERSE SPIN TRANSPORT IN GRAPHENE

TRANSVERSE SPIN TRANSPORT IN GRAPHENE International Journal of Modern Physics B Vol. 23, Nos. 12 & 13 (2009) 2641 2646 World Scientific Publishing Company TRANSVERSE SPIN TRANSPORT IN GRAPHENE TARIQ M. G. MOHIUDDIN, A. A. ZHUKOV, D. C. ELIAS,

More information

Homework #1 - September 9, Due: September 16, 2005 at recitation ( 2 PM latest) (late homework will not be accepted)

Homework #1 - September 9, Due: September 16, 2005 at recitation ( 2 PM latest) (late homework will not be accepted) Fall 2005 6.012 Microelectronic Devices and Circuits Prof. J. A. del Alamo Homework #1 - September 9, 2005 Due: September 16, 2005 at recitation ( 2 PM latest) (late homework will not be accepted) Please

More information

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages:

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages: Ion Implantation alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages: mass separation allows wide varies of dopants dose control: diffusion

More information

CS 152 Computer Architecture and Engineering. Lecture 11 VLSI

CS 152 Computer Architecture and Engineering. Lecture 11 VLSI CS 152 Computer Architecture and Engineering Lecture 11 VLSI 2005-10-6 John Lazzaro (www.cs.berkeley.edu/~lazzaro) TAs: David Marquardt and Udam Saini www-inst.eecs.berkeley.edu/~cs152/ Today: State Storage

More information

Magnetic core memory (1951) cm 2 ( bit)

Magnetic core memory (1951) cm 2 ( bit) Magnetic core memory (1951) 16 16 cm 2 (128 128 bit) Semiconductor Memory Classification Read-Write Memory Non-Volatile Read-Write Memory Read-Only Memory Random Access Non-Random Access EPROM E 2 PROM

More information

There's Plenty of Room at the Bottom

There's Plenty of Room at the Bottom There's Plenty of Room at the Bottom 12/29/1959 Feynman asked why not put the entire Encyclopedia Britannica (24 volumes) on a pin head (requires atomic scale recording). He proposed to use electron microscope

More information

Nanofabrication Lab Process Development for High-k Dielectrics

Nanofabrication Lab Process Development for High-k Dielectrics Nanofabrication Lab Process Development for Highk Dielectrics Each lab group consists of 4 to 5 students. The dates of these Labs are: Lab 1 Date 14.02.2013 Time: 812 am Lab 2 Date 14.02.2013 Time: 15

More information

Chapter 8 Ion Implantation

Chapter 8 Ion Implantation Chapter 8 Ion Implantation 2006/5/23 1 Wafer Process Flow Materials IC Fab Metalization CMP Dielectric deposition Test Wafers Masks Thermal Processes Implant PR strip Etch PR strip Packaging Photolithography

More information

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD Supplementary figure 1 Graphene Growth and Transfer Graphene PMMA FeCl 3 DI water Copper foil CVD growth Back side etch PMMA coating Copper etch in 0.25M FeCl 3 DI water rinse 1 st transfer DI water 1:10

More information

Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma

Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma Journal of the Korean Physical Society, Vol. 38, No. 3, March 001, pp. 59 63 Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma Jong-Sik Kim and Gon-Ho Kim

More information

Supporting Information for: Sustained sub-60 mv/decade switching via the negative capacitance effect in MoS 2 transistors

Supporting Information for: Sustained sub-60 mv/decade switching via the negative capacitance effect in MoS 2 transistors Supporting Information for: Sustained sub-60 mv/decade switching via the negative capacitance effect in MoS 2 transistors Felicia A. McGuire 1, Yuh-Chen Lin 1, Katherine Price 1, G. Bruce Rayner 2, Sourabh

More information

Supporting Online Material for

Supporting Online Material for www.sciencemag.org/cgi/content/full/327/5966/662/dc Supporting Online Material for 00-GHz Transistors from Wafer-Scale Epitaxial Graphene Y.-M. Lin,* C. Dimitrakopoulos, K. A. Jenkins, D. B. Farmer, H.-Y.

More information

Super Flexible, High-efficiency Perovskite Solar Cells Employing Graphene Electrodes: Toward Future Foldable Power Sources

Super Flexible, High-efficiency Perovskite Solar Cells Employing Graphene Electrodes: Toward Future Foldable Power Sources Electronic Supplementary Material (ESI) for Energy & Environmental Science. This journal is The Royal Society of Chemistry 2016 Electronic Supplementary Information Super Flexible, High-efficiency Perovskite

More information

Master Thesis. Effect of Alkali-earth-elements Incorporation on La 2 O 3 Dielectrics for Scaled Silicon MOS Device

Master Thesis. Effect of Alkali-earth-elements Incorporation on La 2 O 3 Dielectrics for Scaled Silicon MOS Device Master Thesis Effect of Alkali-earth-elements Incorporation on Dielectrics for Scaled Silicon MOS Device Tomotsune Koyanagi Department of Electronics and Applied Physics Interdisciplinary Graduate School

More information

Supporting Information. Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition

Supporting Information. Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition 1 Supporting Information Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition Jaechul Ryu, 1,2, Youngsoo Kim, 4, Dongkwan Won, 1 Nayoung Kim, 1 Jin Sung Park, 1 Eun-Kyu

More information

Supplementary Information for. Origin of New Broad Raman D and G Peaks in Annealed Graphene

Supplementary Information for. Origin of New Broad Raman D and G Peaks in Annealed Graphene Supplementary Information for Origin of New Broad Raman D and G Peaks in Annealed Graphene Jinpyo Hong, Min Kyu Park, Eun Jung Lee, DaeEung Lee, Dong Seok Hwang and Sunmin Ryu* Department of Applied Chemistry,

More information

Investigation of the Channel-Width Dependence of CHEI Program / HHI Erase Cycling Behavior in Nitride-Based Charge-Trapping Flash (CTF) Memory Devices

Investigation of the Channel-Width Dependence of CHEI Program / HHI Erase Cycling Behavior in Nitride-Based Charge-Trapping Flash (CTF) Memory Devices Journal of the Korean Physical Society, Vol. 52, No. 2, February 2008, pp. 481486 Investigation of the Channel-Width Dependence of CHEI Program / HHI Erase Cycling Behavior in Nitride-Based Charge-Trapping

More information

Enhanced Mobility CMOS

Enhanced Mobility CMOS Enhanced Mobility CMOS Judy L. Hoyt I. Åberg, C. Ni Chléirigh, O. Olubuyide, J. Jung, S. Yu, E.A. Fitzgerald, and D.A. Antoniadis Microsystems Technology Laboratory MIT, Cambridge, MA 02139 Acknowledge

More information

Vanadium Dioxide (VO 2 ) is also a Ferroelectric: Properties from Memory Structures

Vanadium Dioxide (VO 2 ) is also a Ferroelectric: Properties from Memory Structures 211 11th IEEE International Conference on Nanotechnology Portland Marriott August 15-18, 211, Portland, Oregon, USA Vanadium Dioxide (VO 2 ) is also a Ferroelectric: Properties from Memory Structures S.

More information

The goal of this project is to enhance the power density and lowtemperature efficiency of solid oxide fuel cells (SOFC) manufactured by atomic layer

The goal of this project is to enhance the power density and lowtemperature efficiency of solid oxide fuel cells (SOFC) manufactured by atomic layer Stanford University Michael Shandalov1, Shriram Ramanathan2, Changhyun Ko2 and Paul McIntyre1 1Department of Materials Science and Engineering, Stanford University 2Division of Engineering and Applied

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

EE 292L : Nanomanufacturing. Week 5: Advanced Process Technology. Oct

EE 292L : Nanomanufacturing. Week 5: Advanced Process Technology. Oct EE 292L : Nanomanufacturing Week 5: Advanced Process Technology Oct 22 2012 1 Advanced Process Technology 1 HAR etch 2 3 HAR Gapfill Metal ALD 4 Reflow 5 6 SAC Airgap 7 8 Strain Ge/III-V Engineering 1

More information

EE143 LAB. Professor N Cheung, U.C. Berkeley

EE143 LAB. Professor N Cheung, U.C. Berkeley EE143 LAB 1 1 EE143 Equipment in Cory 218 2 Guidelines for Process Integration * A sequence of Additive and Subtractive steps with lateral patterning Processing Steps Si wafer Watch out for materials compatibility

More information

Lecture 25. Semiconductor Memories. Issues in Memory

Lecture 25. Semiconductor Memories. Issues in Memory Lecture 25 Semiconductor Memories Issues in Memory Memory Classification Memory Architectures TheMemoryCore Periphery 1 Semiconductor Memory Classification RWM NVRWM ROM Random Access Non-Random Access

More information

A New High Voltage 4H-SiC Lateral Dual Sidewall Schottky (LDSS) Rectifier: Theoretical Investigation and Analysis

A New High Voltage 4H-SiC Lateral Dual Sidewall Schottky (LDSS) Rectifier: Theoretical Investigation and Analysis M. Jagadesh Kumar and C. Linga Reddy, "A New High Voltage 4H-SiC Lateral Dual Sidewall Schottky (LDSS) Rectifier: Theoretical Investigation and Analysis", IEEE Trans. on Electron Devices, Vol.50, pp.1690-1693,

More information